[PATCH v3 0/10] hw/char/pl011: Implement TX (async) FIFO to avoid blocking the main loop

Philippe Mathieu-Daudé posted 10 patches 7 months ago
Only 9 patches received!
There is a newer version of this series
include/hw/char/pl011.h |   2 +
include/qemu/fifo8.h    |  37 +++++++++--
hw/char/pl011.c         | 140 +++++++++++++++++++++++++++++-----------
util/fifo8.c            |  28 ++++++--
hw/char/trace-events    |   4 +-
5 files changed, 161 insertions(+), 50 deletions(-)
[PATCH v3 0/10] hw/char/pl011: Implement TX (async) FIFO to avoid blocking the main loop
Posted by Philippe Mathieu-Daudé 7 months ago
Hi,

This series add support for (async) FIFO on the transmit path
of the PL011 UART.

Since v2:
- Added R-b tags
- Addressed Richard comments on migration

Since v1:
- Restrict pl011_ops[] impl access_size,
- Do not check transmitter is enabled (Peter),
- Addressed Alex's review comments,
- Simplified migration trying to care about backward compat,
  but still unsure...

Philippe Mathieu-Daudé (9):
  util/fifo8: Allow fifo8_pop_buf() to not populate popped length
  util/fifo8: Introduce fifo8_peek_buf()
  hw/char/pl011: Split RX/TX path of pl011_reset_fifo()
  hw/char/pl011: Extract pl011_write_txdata() from pl011_write()
  hw/char/pl011: Extract pl011_read_rxdata() from pl011_read()
  hw/char/pl011: Warn when using disabled transmitter
  hw/char/pl011: Check if receiver is enabled
  hw/char/pl011: Rename RX FIFO methods
  hw/char/pl011: Add transmit FIFO to PL011State
  hw/char/pl011: Implement TX FIFO

 include/hw/char/pl011.h |   2 +
 include/qemu/fifo8.h    |  37 +++++++++--
 hw/char/pl011.c         | 140 +++++++++++++++++++++++++++++-----------
 util/fifo8.c            |  28 ++++++--
 hw/char/trace-events    |   4 +-
 5 files changed, 161 insertions(+), 50 deletions(-)

-- 
2.41.0


Re: [PATCH v3 0/10] hw/char/pl011: Implement TX (async) FIFO to avoid blocking the main loop
Posted by Alex Bennée 7 months ago
Philippe Mathieu-Daudé <philmd@linaro.org> writes:

> Hi,
>
> This series add support for (async) FIFO on the transmit path
> of the PL011 UART.

Hmm neither I or patchew received 10/10:

  https://patchew.org/QEMU/20231013141131.1531-1-philmd@linaro.org/

?

-- 
Alex Bennée
Virtualisation Tech Lead @ Linaro
Re: [PATCH v3 0/10] hw/char/pl011: Implement TX (async) FIFO to avoid blocking the main loop
Posted by Mark Cave-Ayland 7 months ago
On 13/10/2023 15:11, Philippe Mathieu-Daudé wrote:

> Hi,
> 
> This series add support for (async) FIFO on the transmit path
> of the PL011 UART.
> 
> Since v2:
> - Added R-b tags
> - Addressed Richard comments on migration
> 
> Since v1:
> - Restrict pl011_ops[] impl access_size,
> - Do not check transmitter is enabled (Peter),
> - Addressed Alex's review comments,
> - Simplified migration trying to care about backward compat,
>    but still unsure...
> 
> Philippe Mathieu-Daudé (9):
>    util/fifo8: Allow fifo8_pop_buf() to not populate popped length
>    util/fifo8: Introduce fifo8_peek_buf()
>    hw/char/pl011: Split RX/TX path of pl011_reset_fifo()
>    hw/char/pl011: Extract pl011_write_txdata() from pl011_write()
>    hw/char/pl011: Extract pl011_read_rxdata() from pl011_read()
>    hw/char/pl011: Warn when using disabled transmitter
>    hw/char/pl011: Check if receiver is enabled
>    hw/char/pl011: Rename RX FIFO methods
>    hw/char/pl011: Add transmit FIFO to PL011State
>    hw/char/pl011: Implement TX FIFO
> 
>   include/hw/char/pl011.h |   2 +
>   include/qemu/fifo8.h    |  37 +++++++++--
>   hw/char/pl011.c         | 140 +++++++++++++++++++++++++++++-----------
>   util/fifo8.c            |  28 ++++++--
>   hw/char/trace-events    |   4 +-
>   5 files changed, 161 insertions(+), 50 deletions(-)

Looks like patch 10 where all the interesting stuff is didn't make it to the list? 
Patchew also agrees here: 
https://patchew.org/QEMU/20231013141131.1531-1-philmd@linaro.org/.


ATB,

Mark.