From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (208.118.235.17 [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515637541828362.3529221412688; Wed, 10 Jan 2018 18:25:41 -0800 (PST) Received: from localhost ([::1]:59899 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSYc-0004TJ-4c for importer@patchew.org; Wed, 10 Jan 2018 21:25:30 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:47908) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWX-00034A-GY for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:22 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWU-00087J-Mx for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:21 -0500 Received: from mail-pf0-x242.google.com ([2607:f8b0:400e:c00::242]:37466) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWU-00086Y-HC for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:18 -0500 Received: by mail-pf0-x242.google.com with SMTP id p1so16178pfh.4 for ; Wed, 10 Jan 2018 18:23:18 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.16 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:16 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=5N9Yv6q1u+lQbekUd7Jpsm0uyF7bs5N3lUqvcBUCIFs=; b=I6FNilrFbhD3cek3oZmzOtX27Qk93AycTKt3MLp+MHDIREQO/EQSLeEYQ9kuiFwUnL l81TEn6ueLBvF0hcEcikm9Q9ogKGSNDeZL5+m3pmQrNGWKsouAVFJ1QrL65OpdE1XD7i IpKaZRRRZKX5zyta8eHesGR0CZgsfNj4H9KmAXoYJD4w9dAT/SNzhAz5rXLbV81zPRMC AzY9b1nFiGC4UZqJYZ5qXbQh9pm3UXlLU6StOsQNTN+ltOeZ0sf6mwk7a7zeXgv1hcsr O/Je4X8+8W2tLuvBYBpriqhvcy4X0MVtTggz9EhYrcwsvyBqs2wa01EKHAAj5DGl5era 5Gtg== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=5N9Yv6q1u+lQbekUd7Jpsm0uyF7bs5N3lUqvcBUCIFs=; b=Sal7hKCFoKnWWxR9ybPhWYrE545ZvWDRY2Cd2DJddtofFn7zcjy25qtzEcj44OvA8n NYjFxu7mnyREYU5gct7aZFTBQBs3BaB3W3RNdvcqiESiPbkfeDuZXWAHlOepK9B1Pg4p XE5GvKpYkn41LA3pEarM8RAe9tRwSECsPqdTOajDHLKudS1J2p15IAhfz6pD7TY2S1VB nx7lg63IeT+lHjCTlhB5C8vStBig1bgEj9cwNMVBNN33PMJPflS+LQRc5LGq6h16fpfT EYKO1AyjDQ8IuIK/Xt0yhDweGFKHw1EK/uo9tONiNUSEBjHi1nRIAq+QvcWkqf9WcFbY Dvhw== X-Gm-Message-State: AKGB3mJm4LbNPhtvcVWBS5qYp1ok2O5W7SkhCZP/qHiy95uZGri3anw3 1o6JBGtb14KWD8A70PIw2d2ghPQqKZ8= X-Google-Smtp-Source: ACJfBos6HEGGMcWzTuSZoyLM3bREZz9YxIcrM02QaZKhjudeH+S50NgQpmZFzyD/3BgUeR5Cr6p1Dg== X-Received: by 10.98.17.193 with SMTP id 62mr14020236pfr.126.1515637397540; Wed, 10 Jan 2018 18:23:17 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:44 -0800 Message-Id: <1515637324-96034-2-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c00::242 Subject: [Qemu-devel] [PATCH v3 01/21] RISC-V Maintainers X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" Add Michael Clark, Palmer Dabbelt, Sagar Karandikar and Bastian Koppelmann as RISC-V Maintainers. Signed-off-by: Michael Clark --- MAINTAINERS | 11 +++++++++++ 1 file changed, 11 insertions(+) diff --git a/MAINTAINERS b/MAINTAINERS index bc2d3a4..17af5b4 100644 --- a/MAINTAINERS +++ b/MAINTAINERS @@ -209,6 +209,17 @@ F: hw/ppc/ F: include/hw/ppc/ F: disas/ppc.c =20 +RISC-V +M: Michael Clark +M: Palmer Dabbelt +M: Sagar Karandikar +M: Bastian Koppelmann +S: Maintained +F: target/riscv/ +F: hw/riscv/ +F: include/hw/riscv/ +F: disas/riscv.c + S390 M: Richard Henderson M: Alexander Graf --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515637542593436.1027530468316; Wed, 10 Jan 2018 18:25:42 -0800 (PST) Received: from localhost ([::1]:59900 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSYg-0004X5-Io for importer@patchew.org; Wed, 10 Jan 2018 21:25:34 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:47906) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWX-000348-G9 for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:22 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWV-00087v-O7 for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:21 -0500 Received: from mail-pg0-x241.google.com ([2607:f8b0:400e:c05::241]:34916) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWV-00087Y-JW for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:19 -0500 Received: by mail-pg0-x241.google.com with SMTP id d6so1676363pgv.2 for ; Wed, 10 Jan 2018 18:23:19 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.17 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:17 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=SUBUVYb5d384M4vJFZT5ZP0zIuWQz1Fm8WdZjk5iAws=; b=lACL6BxfvlzFA7F4XUivQ13S1HNK1KEYrxdTqhX31D4+pIHh70Y+6SmqGcJuvCXWni 9ZGfuWsSI6q2DrIdv5Pz/jFWOKVkzbFPsSNgleJJZFtcMShuQ9xNpXeKEQO/nv7pDVqF nmdGfD0c5fD7Ku5UyKHE9Vu/peGP4QMhVpL5YOSgwngDgy6jclCDpOZEgW59wwCdVrDl BtWo5oCqlEWtvkat1N8IPms1WnUDkdNpW032IwcL95eNNDIx93lQ2W5VX+m8uForjf3r o7w907OEHIMRQIXI5Frh2rNVkgZnybH9Emk2bu555frg0gSGDGlGXRvcwttsw0E8nu/m 6nEg== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=SUBUVYb5d384M4vJFZT5ZP0zIuWQz1Fm8WdZjk5iAws=; b=ptbscLtMcu2BW2FcICvxuHeh85wVXNTR4EqkOkB7ZqYPqL8un6TIR35ZxrrFlulH3z VX8kLpR4W1677mhcfjd9gZBOHIZ0kc3e8XD9xspDsyqaB2nh+NE/Zj8WCXBCwzTNwkLx jqc2GOG2ihS8pHsMsfo7NN20iv6/RykqsJvHZtsYbDjf6tm5aoCyZ9vaKLZ/df+1wQlF 5ziW5Q6EFuw6YR+tg+h3GfwrAE6JjtoMZ0nerbHM0gR+3w/pfymGTp7SVUnQQgI4E7AK XSzUHCb8ikgf/otKUbU8mLwiL6YbPYTtxpRwmETuLaa7dZho2eoqmJptjtGOo/XbTAoX nz8Q== X-Gm-Message-State: AKGB3mJG+AJvofMRfYXmaREupP9OByd7+SThasDG+O/LyhXyxBywJjEz ZD6V8ogQnf6NF5eA5ZVsZ24plVuI+iA= X-Google-Smtp-Source: ACJfBos1EDxDDdWonUy/xAoyy5CdjCem3Urn4dQ589Mxbl8S7klf5gno6rml8SLArmE2fAhoBdBgIA== X-Received: by 10.159.247.136 with SMTP id e8mr15729688pls.388.1515637398463; Wed, 10 Jan 2018 18:23:18 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:45 -0800 Message-Id: <1515637324-96034-3-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c05::241 Subject: [Qemu-devel] [PATCH v3 02/21] RISC-V ELF Machine Definition X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" Define RISC-V ELF machine EM_RISCV 243 Reviewed-by: Richard Henderson Signed-off-by: Michael Clark --- include/elf.h | 2 ++ 1 file changed, 2 insertions(+) diff --git a/include/elf.h b/include/elf.h index e8a515c..8e457fc 100644 --- a/include/elf.h +++ b/include/elf.h @@ -112,6 +112,8 @@ typedef int64_t Elf64_Sxword; =20 #define EM_UNICORE32 110 /* UniCore32 */ =20 +#define EM_RISCV 243 /* RISC-V */ + /* * This is an interim value that we will use until the committee comes * up with a final number. --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515637907417498.3836187410328; Wed, 10 Jan 2018 18:31:47 -0800 (PST) Received: from localhost ([::1]:59949 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSeb-0001OE-CB for importer@patchew.org; Wed, 10 Jan 2018 21:31:41 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:47976) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWc-000388-2R for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:32 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWY-0008Aw-Bi for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:26 -0500 Received: from mail-pf0-x244.google.com ([2607:f8b0:400e:c00::244]:37468) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWX-00088y-Re for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:22 -0500 Received: by mail-pf0-x244.google.com with SMTP id p1so16270pfh.4 for ; Wed, 10 Jan 2018 18:23:21 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.18 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:19 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=Whp2rNFiHMjCgvH8hfP2+s9ZHHa+AXvlvjqsLGFcTgY=; b=CQu98cuiIujCTwR4qaRiSXr4+yTx/Xk/n29kYps1keyik4ALZs79tlxILghTK8hZhp UGd+4vBEIOJUFm/g2VqMcu0j2eitBEr8XacwdO/yJdjLpcfxaBWkaSHVrOealaOhf+DA MAkJ4eqjiRADgTqK+yNai48K6DjE+ukhESIg4BjMjfr1EKcqZxX4xjDo4lMHdKhmHVCG gGVBA35TdszCQ9xTGJSeIZ6p9XeKQUYXShKKX0ep4vuSSffw8JiEQMTM+W2PIrkr4/1y 4sM8x1HEcqWC4aLvYsLmYnB13P+Up9eNQ16HtaZGyJTYw+woteIDi5KHF54xriSo+Gpo EB4g== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=Whp2rNFiHMjCgvH8hfP2+s9ZHHa+AXvlvjqsLGFcTgY=; b=qapMGtZ/4RhWHsnLwVJFDiZN146BDRL7qFiwklOfQjBIfXU7I7syhsRAWcy6ZBLuer I7yGJYOxr0l7xAw5h1J4MPfoiWhHDodpLUN12RFBLR1VY3lQITRY/8S9I5Y5SqzG20LY XB2PgXkFr7hSVmgQCJN4bgl1eejQmCDYcCj85Jd5kiw6rtxyLEjCKApeF6O6S9cRXPti ht+o749LhR+lrwPFalFDxRzkmjkl7Qd5ePPOD8Y+r74wNXqSrA7KtxX2kvIMJnjX23Bc OTOkG4sXtgo2IeoiSrBy1qBvV7puePBr+yGTGJHrxVE9tuTzIio8JxVy71qiPwSo4eX7 JreA== X-Gm-Message-State: AKGB3mJ8MV1PIXhMZXrWMVQsqddFNFk2B7I2b70KR2913eR7SB4uMznh DzdLDge7GmNFDHnrF6hX0AD8q3BkoOc= X-Google-Smtp-Source: ACJfBotJ8VvjmsPIzern9iHKYdRIup39XARVqvBfmKOCZC2bIvzaYU76rERclW6DMNQXQCCoBPqI4w== X-Received: by 10.98.14.154 with SMTP id 26mr18785342pfo.191.1515637400057; Wed, 10 Jan 2018 18:23:20 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:46 -0800 Message-Id: <1515637324-96034-4-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c00::244 Subject: [Qemu-devel] [PATCH v3 03/21] RISC-V CPU Core Definition X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" Add CPU state header, CPU definitions and initialization routines Signed-off-by: Michael Clark Reviewed-by: Richard Henderson --- target/riscv/cpu.c | 391 +++++++++++++++++++++++++++++++++++++++++++++ target/riscv/cpu.h | 271 +++++++++++++++++++++++++++++++ target/riscv/cpu_bits.h | 417 ++++++++++++++++++++++++++++++++++++++++++++= ++++ 3 files changed, 1079 insertions(+) create mode 100644 target/riscv/cpu.c create mode 100644 target/riscv/cpu.h create mode 100644 target/riscv/cpu_bits.h diff --git a/target/riscv/cpu.c b/target/riscv/cpu.c new file mode 100644 index 0000000..035dd39 --- /dev/null +++ b/target/riscv/cpu.c @@ -0,0 +1,391 @@ +/* + * QEMU RISC-V CPU + * + * Author: Sagar Karandikar, sagark@eecs.berkeley.edu + * + * This library is free software; you can redistribute it and/or + * modify it under the terms of the GNU Lesser General Public + * License as published by the Free Software Foundation; either + * version 2.1 of the License, or (at your option) any later version. + * + * This library is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + * Lesser General Public License for more details. + * + * You should have received a copy of the GNU Lesser General Public + * License along with this library; if not, see + * + */ + +#include "qemu/osdep.h" +#include "qemu/log.h" +#include "cpu.h" +#include "exec/exec-all.h" +#include "qapi/error.h" +#include "migration/vmstate.h" + +/* RISC-V CPU definitions */ + +const char * const riscv_int_regnames[] =3D { + "zero", "ra ", "sp ", "gp ", "tp ", "t0 ", "t1 ", "t2 ", + "s0 ", "s1 ", "a0 ", "a1 ", "a2 ", "a3 ", "a4 ", "a5 ", + "a6 ", "a7 ", "s2 ", "s3 ", "s4 ", "s5 ", "s6 ", "s7 ", + "s8 ", "s9 ", "s10 ", "s11 ", "t3 ", "t4 ", "t5 ", "t6 " +}; + +const char * const riscv_fpr_regnames[] =3D { + "ft0 ", "ft1 ", "ft2 ", "ft3 ", "ft4 ", "ft5 ", "ft6 ", "ft7 ", + "fs0 ", "fs1 ", "fa0 ", "fa1 ", "fa2 ", "fa3 ", "fa4 ", "fa5 ", + "fa6 ", "fa7 ", "fs2 ", "fs3 ", "fs4 ", "fs5 ", "fs6 ", "fs7 ", + "fs8 ", "fs9 ", "fs10", "fs11", "ft8 ", "ft9 ", "ft10", "ft11" +}; + +const char * const riscv_excp_names[] =3D { + "misaligned_fetch", + "fault_fetch", + "illegal_instruction", + "breakpoint", + "misaligned_load", + "fault_load", + "misaligned_store", + "fault_store", + "user_ecall", + "supervisor_ecall", + "hypervisor_ecall", + "machine_ecall", + "exec_page_fault", + "load_page_fault", + "reserved", + "store_page_fault" +}; + +const char * const riscv_intr_names[] =3D { + "u_software", + "s_software", + "h_software", + "m_software", + "u_timer", + "s_timer", + "h_timer", + "m_timer", + "u_external", + "s_external", + "h_external", + "m_external", + "coprocessor", + "host" +}; + +typedef struct RISCVCPUInfo { + const char *name; + void (*initfn)(Object *obj); +} RISCVCPUInfo; + +#ifdef CONFIG_USER_ONLY +static void riscv_any_cpu_init(Object *obj) +{ + CPURISCVState *env =3D &RISCV_CPU(obj)->env; + env->misa =3D RVXLEN | RVI | RVM | RVA | RVF | RVD | RVC | RVU; + env->misa_mask =3D env->misa; + env->user_ver =3D USER_VERSION_2_02_0; + env->priv_ver =3D PRIV_VERSION_1_10_0; +} +#else +static void riscv_imafdcsu_priv1_9_cpu_init(Object *obj) +{ + CPURISCVState *env =3D &RISCV_CPU(obj)->env; + env->misa =3D RVXLEN | RVI | RVM | RVA | RVF | RVD | RVC | RVS | RVU; + env->misa_mask =3D env->misa; + env->user_ver =3D USER_VERSION_2_02_0; + env->priv_ver =3D PRIV_VERSION_1_09_1; +} + +static void riscv_imafdcsu_priv1_10_cpu_init(Object *obj) +{ + CPURISCVState *env =3D &RISCV_CPU(obj)->env; + env->misa =3D RVXLEN | RVI | RVM | RVA | RVF | RVD | RVC | RVS | RVU; + env->misa_mask =3D env->misa; + env->user_ver =3D USER_VERSION_2_02_0; + env->priv_ver =3D PRIV_VERSION_1_10_0; +} + +static void riscv_imacu_priv1_10_cpu_init(Object *obj) +{ + CPURISCVState *env =3D &RISCV_CPU(obj)->env; + env->misa =3D RVXLEN | RVI | RVM | RVA | RVC | RVU; + env->misa_mask =3D env->misa; + env->user_ver =3D USER_VERSION_2_02_0; + env->priv_ver =3D PRIV_VERSION_1_10_0; +} + +static void riscv_imac_priv1_10_cpu_init(Object *obj) +{ + CPURISCVState *env =3D &RISCV_CPU(obj)->env; + env->misa =3D RVXLEN | RVI | RVM | RVA | RVC; + env->misa_mask =3D env->misa; + env->user_ver =3D USER_VERSION_2_02_0; + env->priv_ver =3D PRIV_VERSION_1_10_0; +} +#endif + +static const RISCVCPUInfo riscv_cpus[] =3D { +#ifdef CONFIG_USER_ONLY + { TYPE_RISCV_CPU_ANY, riscv_any_cpu_init }, +#else + { TYPE_RISCV_CPU_IMAFDCSU_PRIV_1_09, riscv_imafdcsu_priv1_9_cpu_init }, + { TYPE_RISCV_CPU_IMAFDCSU_PRIV_1_10, riscv_imafdcsu_priv1_10_cpu_init = }, + { TYPE_RISCV_CPU_IMACU_PRIV_1_10, riscv_imacu_priv1_10_cpu_init }, + { TYPE_RISCV_CPU_IMAC_PRIV_1_10, riscv_imac_priv1_10_cpu_init }, +#endif + { NULL, NULL } +}; + +static ObjectClass *riscv_cpu_class_by_name(const char *cpu_model) +{ + ObjectClass *oc; + char *typename; + char **cpuname; + + cpuname =3D g_strsplit(cpu_model, ",", 1); + typename =3D g_strdup_printf(RISCV_CPU_TYPE_NAME("%s"), cpuname[0]); + oc =3D object_class_by_name(typename); + g_strfreev(cpuname); + g_free(typename); + if (!oc || !object_class_dynamic_cast(oc, TYPE_RISCV_CPU) || + object_class_is_abstract(oc)) { + return NULL; + } + return oc; +} + +static void riscv_cpu_dump_state(CPUState *cs, FILE *f, + fprintf_function cpu_fprintf, int flags) +{ + RISCVCPU *cpu =3D RISCV_CPU(cs); + CPURISCVState *env =3D &cpu->env; + int i; + + cpu_fprintf(f, "pc=3D0x" TARGET_FMT_lx "\n", env->pc); + for (i =3D 0; i < 32; i++) { + cpu_fprintf(f, " %s " TARGET_FMT_lx, + riscv_int_regnames[i], env->gpr[i]); + if ((i & 3) =3D=3D 3) { + cpu_fprintf(f, "\n"); + } + } + +#ifndef CONFIG_USER_ONLY + cpu_fprintf(f, " %s " TARGET_FMT_lx "\n", "MSTATUS ", + env->mstatus); + cpu_fprintf(f, " %s " TARGET_FMT_lx "\n", "MIP ", env->mip); + cpu_fprintf(f, " %s " TARGET_FMT_lx "\n", "MIE ", env->mie); +#endif + + for (i =3D 0; i < 32; i++) { + if ((i & 3) =3D=3D 0) { + cpu_fprintf(f, "FPR%02d:", i); + } + cpu_fprintf(f, " %s %016" PRIx64, + riscv_fpr_regnames[i], env->fpr[i]); + if ((i & 3) =3D=3D 3) { + cpu_fprintf(f, "\n"); + } + } +} + +static void riscv_cpu_set_pc(CPUState *cs, vaddr value) +{ + RISCVCPU *cpu =3D RISCV_CPU(cs); + CPURISCVState *env =3D &cpu->env; + env->pc =3D value; +} + +static void riscv_cpu_synchronize_from_tb(CPUState *cs, TranslationBlock *= tb) +{ + RISCVCPU *cpu =3D RISCV_CPU(cs); + CPURISCVState *env =3D &cpu->env; + env->pc =3D tb->pc; +} + +static bool riscv_cpu_has_work(CPUState *cs) +{ + return cs->interrupt_request & CPU_INTERRUPT_HARD; +} + +void restore_state_to_opc(CPURISCVState *env, TranslationBlock *tb, + target_ulong *data) +{ + env->pc =3D data[0]; +} + +static void riscv_cpu_reset(CPUState *cs) +{ + RISCVCPU *cpu =3D RISCV_CPU(cs); + RISCVCPUClass *mcc =3D RISCV_CPU_GET_CLASS(cpu); + CPURISCVState *env =3D &cpu->env; + + mcc->parent_reset(cs); +#ifndef CONFIG_USER_ONLY + env->priv =3D PRV_M; + env->mtvec =3D DEFAULT_MTVEC; +#endif + env->pc =3D DEFAULT_RSTVEC; + cs->exception_index =3D EXCP_NONE; + set_default_nan_mode(1, &env->fp_status); +} + +static void riscv_cpu_disas_set_info(CPUState *s, disassemble_info *info) +{ +#if defined(TARGET_RISCV32) + info->print_insn =3D print_insn_riscv32; +#elif defined(TARGET_RISCV64) + info->print_insn =3D print_insn_riscv64; +#endif +} + +static void riscv_cpu_realize(DeviceState *dev, Error **errp) +{ + CPUState *cs =3D CPU(dev); + RISCVCPUClass *mcc =3D RISCV_CPU_GET_CLASS(dev); + Error *local_err =3D NULL; + + cpu_exec_realizefn(cs, &local_err); + if (local_err !=3D NULL) { + error_propagate(errp, local_err); + return; + } + + qemu_init_vcpu(cs); + cpu_reset(cs); + + mcc->parent_realize(dev, errp); +} + +static void riscv_cpu_init(Object *obj) +{ + CPUState *cs =3D CPU(obj); + RISCVCPU *cpu =3D RISCV_CPU(obj); + + cs->env_ptr =3D &cpu->env; +} + +static const VMStateDescription vmstate_riscv_cpu =3D { + .name =3D "cpu", + .unmigratable =3D 1, +}; + +static void riscv_cpu_class_init(ObjectClass *c, void *data) +{ + RISCVCPUClass *mcc =3D RISCV_CPU_CLASS(c); + CPUClass *cc =3D CPU_CLASS(c); + DeviceClass *dc =3D DEVICE_CLASS(c); + + mcc->parent_realize =3D dc->realize; + dc->realize =3D riscv_cpu_realize; + + mcc->parent_reset =3D cc->reset; + cc->reset =3D riscv_cpu_reset; + + cc->class_by_name =3D riscv_cpu_class_by_name; + cc->has_work =3D riscv_cpu_has_work; + cc->do_interrupt =3D riscv_cpu_do_interrupt; + cc->cpu_exec_interrupt =3D riscv_cpu_exec_interrupt; + cc->dump_state =3D riscv_cpu_dump_state; + cc->set_pc =3D riscv_cpu_set_pc; + cc->synchronize_from_tb =3D riscv_cpu_synchronize_from_tb; + cc->gdb_read_register =3D riscv_cpu_gdb_read_register; + cc->gdb_write_register =3D riscv_cpu_gdb_write_register; + cc->gdb_num_core_regs =3D 65; + cc->gdb_stop_before_watchpoint =3D true; + cc->disas_set_info =3D riscv_cpu_disas_set_info; +#ifdef CONFIG_USER_ONLY + cc->handle_mmu_fault =3D riscv_cpu_handle_mmu_fault; +#else + cc->do_unassigned_access =3D riscv_cpu_unassigned_access; + cc->do_unaligned_access =3D riscv_cpu_do_unaligned_access; + cc->get_phys_page_debug =3D riscv_cpu_get_phys_page_debug; +#endif +#ifdef CONFIG_TCG + cc->tcg_initialize =3D riscv_translate_init; +#endif + /* For now, mark unmigratable: */ + cc->vmsd =3D &vmstate_riscv_cpu; +} + +static void cpu_register(const RISCVCPUInfo *info) +{ + TypeInfo type_info =3D { + .name =3D info->name, + .parent =3D TYPE_RISCV_CPU, + .instance_size =3D sizeof(RISCVCPU), + .instance_init =3D info->initfn, + }; + + type_register(&type_info); +} + +static const TypeInfo riscv_cpu_type_info =3D { + .name =3D TYPE_RISCV_CPU, + .parent =3D TYPE_CPU, + .instance_size =3D sizeof(RISCVCPU), + .instance_init =3D riscv_cpu_init, + .abstract =3D false, + .class_size =3D sizeof(RISCVCPUClass), + .class_init =3D riscv_cpu_class_init, +}; + +char *riscv_isa_string(RISCVCPU *cpu) +{ + size_t len =3D 5 + ctz32(cpu->env.misa); + char *isa_string =3D g_new(char, len); + isa_string[0] =3D '\0'; +#if defined(TARGET_RISCV32) + strncat(isa_string, "rv32", len); +#elif defined(TARGET_RISCV64) + strncat(isa_string, "rv64", len); +#endif + if (cpu->env.misa & RVI) { + strncat(isa_string, "i", len); + } + if (cpu->env.misa & RVM) { + strncat(isa_string, "m", len); + } + if (cpu->env.misa & RVA) { + strncat(isa_string, "a", len); + } + if (cpu->env.misa & RVF) { + strncat(isa_string, "f", len); + } + if (cpu->env.misa & RVD) { + strncat(isa_string, "d", len); + } + if (cpu->env.misa & RVC) { + strncat(isa_string, "c", len); + } + return isa_string; +} + +void riscv_cpu_list(FILE *f, fprintf_function cpu_fprintf) +{ + const RISCVCPUInfo *info =3D riscv_cpus; + + while (info->name) { + (*cpu_fprintf)(f, "%s\n", info->name); + info++; + } +} + +static void riscv_cpu_register_types(void) +{ + const RISCVCPUInfo *info =3D riscv_cpus; + + type_register_static(&riscv_cpu_type_info); + + while (info->name) { + cpu_register(info); + info++; + } +} + +type_init(riscv_cpu_register_types) diff --git a/target/riscv/cpu.h b/target/riscv/cpu.h new file mode 100644 index 0000000..9dd131b --- /dev/null +++ b/target/riscv/cpu.h @@ -0,0 +1,271 @@ +#ifndef RISCV_CPU_H +#define RISCV_CPU_H + +/* QEMU addressing/paging config */ +#define TARGET_PAGE_BITS 12 /* 4 KiB Pages */ +#if defined(TARGET_RISCV64) +#define TARGET_LONG_BITS 64 +#define TARGET_PHYS_ADDR_SPACE_BITS 50 +#define TARGET_VIRT_ADDR_SPACE_BITS 39 +#elif defined(TARGET_RISCV32) +#define TARGET_LONG_BITS 32 +#define TARGET_PHYS_ADDR_SPACE_BITS 34 +#define TARGET_VIRT_ADDR_SPACE_BITS 32 +#endif + +#define ELF_MACHINE EM_RISCV +#define CPUArchState struct CPURISCVState + +#include "qemu-common.h" +#include "qom/cpu.h" +#include "exec/cpu-defs.h" +#include "fpu/softfloat.h" + +/* #define DEBUG_OP */ +/* #define RISCV_DEBUG_PRINT */ + +#define TYPE_RISCV_CPU "riscv" +#define TYPE_RISCV_CPU_ANY "riscv-any" +#define TYPE_RISCV_CPU_IMAFDCSU_PRIV_1_09 "riscv-imafdcsu-priv1.9" +#define TYPE_RISCV_CPU_IMAFDCSU_PRIV_1_10 "riscv-imafdcsu-priv1.10" +#define TYPE_RISCV_CPU_IMACU_PRIV_1_10 "riscv-imacu-priv1.10" +#define TYPE_RISCV_CPU_IMAC_PRIV_1_10 "riscv-imac-priv1.10" + +#define RISCV_CPU_TYPE_PREFIX TYPE_RISCV_CPU "-" +#define RISCV_CPU_TYPE_NAME(name) (RISCV_CPU_TYPE_PREFIX name) + +#if defined(TARGET_RISCV32) +#define RVXLEN ((target_ulong)1 << (TARGET_LONG_BITS - 2)) +#elif defined(TARGET_RISCV64) +#define RVXLEN ((target_ulong)2 << (TARGET_LONG_BITS - 2)) +#endif +#define RV(x) ((target_ulong)1 << (x - 'A')) + +#define RVI RV('I') +#define RVM RV('M') +#define RVA RV('A') +#define RVF RV('F') +#define RVD RV('D') +#define RVC RV('C') +#define RVS RV('S') +#define RVU RV('U') + +#define USER_VERSION_2_02_0 0x00020200 +#define PRIV_VERSION_1_09_1 0x00010901 +#define PRIV_VERSION_1_10_0 0x00011000 + +#define TRANSLATE_FAIL 1 +#define TRANSLATE_SUCCESS 0 +#define NB_MMU_MODES 4 +#define MMU_USER_IDX 3 + +#define SSIP_IRQ (env->irq[0]) +#define STIP_IRQ (env->irq[1]) +#define MSIP_IRQ (env->irq[2]) +#define MTIP_IRQ (env->irq[3]) +#define HTIF_IRQ (env->irq[4]) +#define SEIP_IRQ (env->irq[5]) +#define MEIP_IRQ (env->irq[6]) + +#define MAX_RISCV_IRQ (8) +#define MAX_RISCV_PMPS (16) + +typedef struct CPURISCVState CPURISCVState; + +#include "pmp.h" + +struct CPURISCVState { + target_ulong gpr[32]; + uint64_t fpr[32]; /* assume both F and D extensions */ + target_ulong pc; + target_ulong load_res; + + target_ulong frm; + target_ulong fstatus; + target_ulong fflags; + + target_ulong badaddr; + + uint32_t mucounteren; + + target_ulong user_ver; + target_ulong priv_ver; + target_ulong misa_mask; + target_ulong misa; + +#ifdef CONFIG_USER_ONLY + uint32_t amoinsn; + target_long amoaddr; + target_long amotest; +#else + target_ulong priv; + + target_ulong mhartid; + target_ulong mstatus; + target_ulong mip; + target_ulong mie; + target_ulong mideleg; + + target_ulong sptbr; /* until: priv-1.9.1 */ + target_ulong satp; /* since: priv-1.10.0 */ + target_ulong sbadaddr; + target_ulong mbadaddr; + target_ulong medeleg; + + target_ulong stvec; + target_ulong sepc; + target_ulong scause; + + target_ulong mtvec; + target_ulong mepc; + target_ulong mcause; + target_ulong mtval; /* since: priv-1.10.0 */ + + uint32_t mscounteren; + target_ulong scounteren; /* since: priv-1.10.0 */ + target_ulong mcounteren; /* since: priv-1.10.0 */ + + target_ulong sscratch; + target_ulong mscratch; + + /* temporary htif regs */ + uint64_t mfromhost; + uint64_t mtohost; + uint64_t timecmp; + + /* physical memory protection */ + pmp_table_t pmp_state; +#endif + + float_status fp_status; + + /* QEMU */ + CPU_COMMON + + /* Fields from here on are preserved across CPU reset. */ + qemu_irq irq[8]; + QEMUTimer *timer; /* Internal timer */ +}; + +#define RISCV_CPU_CLASS(klass) \ + OBJECT_CLASS_CHECK(RISCVCPUClass, (klass), TYPE_RISCV_CPU) +#define RISCV_CPU(obj) \ + OBJECT_CHECK(RISCVCPU, (obj), TYPE_RISCV_CPU) +#define RISCV_CPU_GET_CLASS(obj) \ + OBJECT_GET_CLASS(RISCVCPUClass, (obj), TYPE_RISCV_CPU) + +/** + * RISCVCPUClass: + * @parent_realize: The parent class' realize handler. + * @parent_reset: The parent class' reset handler. + * + * A RISCV CPU model. + */ +typedef struct RISCVCPUClass { + /*< private >*/ + CPUClass parent_class; + /*< public >*/ + DeviceRealize parent_realize; + void (*parent_reset)(CPUState *cpu); +} RISCVCPUClass; + +/** + * RISCVCPU: + * @env: #CPURISCVState + * + * A RISCV CPU. + */ +typedef struct RISCVCPU { + /*< private >*/ + CPUState parent_obj; + /*< public >*/ + CPURISCVState env; +} RISCVCPU; + +static inline RISCVCPU *riscv_env_get_cpu(CPURISCVState *env) +{ + return container_of(env, RISCVCPU, env); +} + +static inline int riscv_has_ext(CPURISCVState *env, target_ulong ext) +{ + return (env->misa & ext) !=3D 0; +} + +#include "cpu_user.h" +#include "cpu_bits.h" + +extern const char * const riscv_int_regnames[]; +extern const char * const riscv_fpr_regnames[]; +extern const char * const riscv_excp_names[]; +extern const char * const riscv_intr_names[]; + +#define ENV_GET_CPU(e) CPU(riscv_env_get_cpu(e)) +#define ENV_OFFSET offsetof(RISCVCPU, env) + +void riscv_cpu_do_interrupt(CPUState *cpu); +hwaddr riscv_cpu_get_phys_page_debug(CPUState *cpu, vaddr addr); +int riscv_cpu_gdb_read_register(CPUState *cpu, uint8_t *buf, int reg); +int riscv_cpu_gdb_write_register(CPUState *cpu, uint8_t *buf, int reg); +bool riscv_cpu_exec_interrupt(CPUState *cs, int interrupt_request); +void riscv_cpu_do_unaligned_access(CPUState *cs, vaddr addr, + MMUAccessType access_type, int mmu_idx, + uintptr_t retaddr); +#if !defined(CONFIG_USER_ONLY) +void riscv_cpu_unassigned_access(CPUState *cpu, hwaddr addr, bool is_write, + bool is_exec, int unused, unsigned size); +#endif + +char *riscv_isa_string(RISCVCPU *cpu); +void riscv_cpu_list(FILE *f, fprintf_function cpu_fprintf); +int riscv_cpu_mmu_index(CPURISCVState *env, bool ifetch); + +#define cpu_init(cpu_model) cpu_generic_init(TYPE_RISCV_CPU, cpu_model) +#define cpu_signal_handler cpu_riscv_signal_handler +#define cpu_list riscv_cpu_list +#define cpu_mmu_index riscv_cpu_mmu_index + +void riscv_set_mode(CPURISCVState *env, target_ulong newpriv); +unsigned int softfloat_flags_to_riscv(unsigned int flag); +uint_fast16_t float32_classify(uint32_t a, float_status *status); +uint_fast16_t float64_classify(uint64_t a, float_status *status); + +void riscv_translate_init(void); +RISCVCPU *cpu_riscv_init(const char *cpu_model); +int cpu_riscv_signal_handler(int host_signum, void *pinfo, void *puc); +void QEMU_NORETURN do_raise_exception_err(CPURISCVState *env, + uint32_t exception, uintptr_t pc= ); + +/* hw/riscv/sifive_clint.c - supplies instret by approximating */ +uint64_t cpu_riscv_read_instret(CPURISCVState *env); +uint64_t cpu_riscv_read_rtc(void); + +int riscv_cpu_handle_mmu_fault(CPUState *cpu, vaddr address, int rw, + int mmu_idx); + +static inline void cpu_get_tb_cpu_state(CPURISCVState *env, target_ulong *= pc, + target_ulong *cs_base, uint32_t *f= lags) +{ + *pc =3D env->pc; + *cs_base =3D 0; + *flags =3D 0; /* necessary to avoid compiler warning */ +} + +static inline int riscv_mstatus_fs(CPURISCVState *env) +{ +#ifndef CONFIG_USER_ONLY + return env->mstatus & MSTATUS_FS; +#else + return TRUE; +#endif +} + +void csr_write_helper(CPURISCVState *env, target_ulong val_to_write, + target_ulong csrno); +target_ulong csr_read_helper(CPURISCVState *env, target_ulong csrno); + +void validate_csr(CPURISCVState *env, uint64_t which, uint64_t write); + +#include "exec/cpu-all.h" + +#endif /* RISCV_CPU_H */ diff --git a/target/riscv/cpu_bits.h b/target/riscv/cpu_bits.h new file mode 100644 index 0000000..81d2a9d --- /dev/null +++ b/target/riscv/cpu_bits.h @@ -0,0 +1,417 @@ +/* RISC-V ISA constants */ + +#define get_field(reg, mask) (((reg) & \ + (target_ulong)(mask)) / ((mask) & ~((mask) << 1))) +#define set_field(reg, mask, val) (((reg) & ~(target_ulong)(mask)) | \ + (((target_ulong)(val) * ((mask) & ~((mask) << 1))) & \ + (target_ulong)(mask))) + +#define PGSHIFT 12 + +#define FSR_RD_SHIFT 5 +#define FSR_RD (0x7 << FSR_RD_SHIFT) + +#define FPEXC_NX 0x01 +#define FPEXC_UF 0x02 +#define FPEXC_OF 0x04 +#define FPEXC_DZ 0x08 +#define FPEXC_NV 0x10 + +#define FSR_AEXC_SHIFT 0 +#define FSR_NVA (FPEXC_NV << FSR_AEXC_SHIFT) +#define FSR_OFA (FPEXC_OF << FSR_AEXC_SHIFT) +#define FSR_UFA (FPEXC_UF << FSR_AEXC_SHIFT) +#define FSR_DZA (FPEXC_DZ << FSR_AEXC_SHIFT) +#define FSR_NXA (FPEXC_NX << FSR_AEXC_SHIFT) +#define FSR_AEXC (FSR_NVA | FSR_OFA | FSR_UFA | FSR_DZA | FSR_NXA) + +/* CSR numbers */ +#define CSR_FFLAGS 0x1 +#define CSR_FRM 0x2 +#define CSR_FCSR 0x3 +#define CSR_CYCLE 0xc00 +#define CSR_TIME 0xc01 +#define CSR_INSTRET 0xc02 +#define CSR_HPMCOUNTER3 0xc03 +#define CSR_HPMCOUNTER4 0xc04 +#define CSR_HPMCOUNTER5 0xc05 +#define CSR_HPMCOUNTER6 0xc06 +#define CSR_HPMCOUNTER7 0xc07 +#define CSR_HPMCOUNTER8 0xc08 +#define CSR_HPMCOUNTER9 0xc09 +#define CSR_HPMCOUNTER10 0xc0a +#define CSR_HPMCOUNTER11 0xc0b +#define CSR_HPMCOUNTER12 0xc0c +#define CSR_HPMCOUNTER13 0xc0d +#define CSR_HPMCOUNTER14 0xc0e +#define CSR_HPMCOUNTER15 0xc0f +#define CSR_HPMCOUNTER16 0xc10 +#define CSR_HPMCOUNTER17 0xc11 +#define CSR_HPMCOUNTER18 0xc12 +#define CSR_HPMCOUNTER19 0xc13 +#define CSR_HPMCOUNTER20 0xc14 +#define CSR_HPMCOUNTER21 0xc15 +#define CSR_HPMCOUNTER22 0xc16 +#define CSR_HPMCOUNTER23 0xc17 +#define CSR_HPMCOUNTER24 0xc18 +#define CSR_HPMCOUNTER25 0xc19 +#define CSR_HPMCOUNTER26 0xc1a +#define CSR_HPMCOUNTER27 0xc1b +#define CSR_HPMCOUNTER28 0xc1c +#define CSR_HPMCOUNTER29 0xc1d +#define CSR_HPMCOUNTER30 0xc1e +#define CSR_HPMCOUNTER31 0xc1f +#define CSR_SSTATUS 0x100 +#define CSR_SIE 0x104 +#define CSR_STVEC 0x105 +#define CSR_SCOUNTEREN 0x106 +#define CSR_SSCRATCH 0x140 +#define CSR_SEPC 0x141 +#define CSR_SCAUSE 0x142 +#define CSR_SBADADDR 0x143 +#define CSR_SIP 0x144 +#define CSR_SPTBR 0x180 +#define CSR_SATP 0x180 +#define CSR_MSTATUS 0x300 +#define CSR_MISA 0x301 +#define CSR_MEDELEG 0x302 +#define CSR_MIDELEG 0x303 +#define CSR_MIE 0x304 +#define CSR_MTVEC 0x305 +#define CSR_MCOUNTEREN 0x306 +#define CSR_MSCRATCH 0x340 +#define CSR_MEPC 0x341 +#define CSR_MCAUSE 0x342 +#define CSR_MBADADDR 0x343 +#define CSR_MIP 0x344 +#define CSR_PMPCFG0 0x3a0 +#define CSR_PMPCFG1 0x3a1 +#define CSR_PMPCFG2 0x3a2 +#define CSR_PMPCFG3 0x3a3 +#define CSR_PMPADDR0 0x3b0 +#define CSR_PMPADDR1 0x3b1 +#define CSR_PMPADDR2 0x3b2 +#define CSR_PMPADDR3 0x3b3 +#define CSR_PMPADDR4 0x3b4 +#define CSR_PMPADDR5 0x3b5 +#define CSR_PMPADDR6 0x3b6 +#define CSR_PMPADDR7 0x3b7 +#define CSR_PMPADDR8 0x3b8 +#define CSR_PMPADDR9 0x3b9 +#define CSR_PMPADDR10 0x3ba +#define CSR_PMPADDR11 0x3bb +#define CSR_PMPADDR12 0x3bc +#define CSR_PMPADDR13 0x3bd +#define CSR_PMPADDR14 0x3be +#define CSR_PMPADDR15 0x3bf +#define CSR_TSELECT 0x7a0 +#define CSR_TDATA1 0x7a1 +#define CSR_TDATA2 0x7a2 +#define CSR_TDATA3 0x7a3 +#define CSR_DCSR 0x7b0 +#define CSR_DPC 0x7b1 +#define CSR_DSCRATCH 0x7b2 +#define CSR_MCYCLE 0xb00 +#define CSR_MINSTRET 0xb02 +#define CSR_MHPMCOUNTER3 0xb03 +#define CSR_MHPMCOUNTER4 0xb04 +#define CSR_MHPMCOUNTER5 0xb05 +#define CSR_MHPMCOUNTER6 0xb06 +#define CSR_MHPMCOUNTER7 0xb07 +#define CSR_MHPMCOUNTER8 0xb08 +#define CSR_MHPMCOUNTER9 0xb09 +#define CSR_MHPMCOUNTER10 0xb0a +#define CSR_MHPMCOUNTER11 0xb0b +#define CSR_MHPMCOUNTER12 0xb0c +#define CSR_MHPMCOUNTER13 0xb0d +#define CSR_MHPMCOUNTER14 0xb0e +#define CSR_MHPMCOUNTER15 0xb0f +#define CSR_MHPMCOUNTER16 0xb10 +#define CSR_MHPMCOUNTER17 0xb11 +#define CSR_MHPMCOUNTER18 0xb12 +#define CSR_MHPMCOUNTER19 0xb13 +#define CSR_MHPMCOUNTER20 0xb14 +#define CSR_MHPMCOUNTER21 0xb15 +#define CSR_MHPMCOUNTER22 0xb16 +#define CSR_MHPMCOUNTER23 0xb17 +#define CSR_MHPMCOUNTER24 0xb18 +#define CSR_MHPMCOUNTER25 0xb19 +#define CSR_MHPMCOUNTER26 0xb1a +#define CSR_MHPMCOUNTER27 0xb1b +#define CSR_MHPMCOUNTER28 0xb1c +#define CSR_MHPMCOUNTER29 0xb1d +#define CSR_MHPMCOUNTER30 0xb1e +#define CSR_MHPMCOUNTER31 0xb1f +#define CSR_MUCOUNTEREN 0x320 +#define CSR_MSCOUNTEREN 0x321 +#define CSR_MHPMEVENT3 0x323 +#define CSR_MHPMEVENT4 0x324 +#define CSR_MHPMEVENT5 0x325 +#define CSR_MHPMEVENT6 0x326 +#define CSR_MHPMEVENT7 0x327 +#define CSR_MHPMEVENT8 0x328 +#define CSR_MHPMEVENT9 0x329 +#define CSR_MHPMEVENT10 0x32a +#define CSR_MHPMEVENT11 0x32b +#define CSR_MHPMEVENT12 0x32c +#define CSR_MHPMEVENT13 0x32d +#define CSR_MHPMEVENT14 0x32e +#define CSR_MHPMEVENT15 0x32f +#define CSR_MHPMEVENT16 0x330 +#define CSR_MHPMEVENT17 0x331 +#define CSR_MHPMEVENT18 0x332 +#define CSR_MHPMEVENT19 0x333 +#define CSR_MHPMEVENT20 0x334 +#define CSR_MHPMEVENT21 0x335 +#define CSR_MHPMEVENT22 0x336 +#define CSR_MHPMEVENT23 0x337 +#define CSR_MHPMEVENT24 0x338 +#define CSR_MHPMEVENT25 0x339 +#define CSR_MHPMEVENT26 0x33a +#define CSR_MHPMEVENT27 0x33b +#define CSR_MHPMEVENT28 0x33c +#define CSR_MHPMEVENT29 0x33d +#define CSR_MHPMEVENT30 0x33e +#define CSR_MHPMEVENT31 0x33f +#define CSR_MVENDORID 0xf11 +#define CSR_MARCHID 0xf12 +#define CSR_MIMPID 0xf13 +#define CSR_MHARTID 0xf14 +#define CSR_CYCLEH 0xc80 +#define CSR_TIMEH 0xc81 +#define CSR_INSTRETH 0xc82 +#define CSR_HPMCOUNTER3H 0xc83 +#define CSR_HPMCOUNTER4H 0xc84 +#define CSR_HPMCOUNTER5H 0xc85 +#define CSR_HPMCOUNTER6H 0xc86 +#define CSR_HPMCOUNTER7H 0xc87 +#define CSR_HPMCOUNTER8H 0xc88 +#define CSR_HPMCOUNTER9H 0xc89 +#define CSR_HPMCOUNTER10H 0xc8a +#define CSR_HPMCOUNTER11H 0xc8b +#define CSR_HPMCOUNTER12H 0xc8c +#define CSR_HPMCOUNTER13H 0xc8d +#define CSR_HPMCOUNTER14H 0xc8e +#define CSR_HPMCOUNTER15H 0xc8f +#define CSR_HPMCOUNTER16H 0xc90 +#define CSR_HPMCOUNTER17H 0xc91 +#define CSR_HPMCOUNTER18H 0xc92 +#define CSR_HPMCOUNTER19H 0xc93 +#define CSR_HPMCOUNTER20H 0xc94 +#define CSR_HPMCOUNTER21H 0xc95 +#define CSR_HPMCOUNTER22H 0xc96 +#define CSR_HPMCOUNTER23H 0xc97 +#define CSR_HPMCOUNTER24H 0xc98 +#define CSR_HPMCOUNTER25H 0xc99 +#define CSR_HPMCOUNTER26H 0xc9a +#define CSR_HPMCOUNTER27H 0xc9b +#define CSR_HPMCOUNTER28H 0xc9c +#define CSR_HPMCOUNTER29H 0xc9d +#define CSR_HPMCOUNTER30H 0xc9e +#define CSR_HPMCOUNTER31H 0xc9f +#define CSR_MCYCLEH 0xb80 +#define CSR_MINSTRETH 0xb82 +#define CSR_MHPMCOUNTER3H 0xb83 +#define CSR_MHPMCOUNTER4H 0xb84 +#define CSR_MHPMCOUNTER5H 0xb85 +#define CSR_MHPMCOUNTER6H 0xb86 +#define CSR_MHPMCOUNTER7H 0xb87 +#define CSR_MHPMCOUNTER8H 0xb88 +#define CSR_MHPMCOUNTER9H 0xb89 +#define CSR_MHPMCOUNTER10H 0xb8a +#define CSR_MHPMCOUNTER11H 0xb8b +#define CSR_MHPMCOUNTER12H 0xb8c +#define CSR_MHPMCOUNTER13H 0xb8d +#define CSR_MHPMCOUNTER14H 0xb8e +#define CSR_MHPMCOUNTER15H 0xb8f +#define CSR_MHPMCOUNTER16H 0xb90 +#define CSR_MHPMCOUNTER17H 0xb91 +#define CSR_MHPMCOUNTER18H 0xb92 +#define CSR_MHPMCOUNTER19H 0xb93 +#define CSR_MHPMCOUNTER20H 0xb94 +#define CSR_MHPMCOUNTER21H 0xb95 +#define CSR_MHPMCOUNTER22H 0xb96 +#define CSR_MHPMCOUNTER23H 0xb97 +#define CSR_MHPMCOUNTER24H 0xb98 +#define CSR_MHPMCOUNTER25H 0xb99 +#define CSR_MHPMCOUNTER26H 0xb9a +#define CSR_MHPMCOUNTER27H 0xb9b +#define CSR_MHPMCOUNTER28H 0xb9c +#define CSR_MHPMCOUNTER29H 0xb9d +#define CSR_MHPMCOUNTER30H 0xb9e +#define CSR_MHPMCOUNTER31H 0xb9f + +/* mstatus bits */ +#define MSTATUS_UIE 0x00000001 +#define MSTATUS_SIE 0x00000002 +#define MSTATUS_HIE 0x00000004 +#define MSTATUS_MIE 0x00000008 +#define MSTATUS_UPIE 0x00000010 +#define MSTATUS_SPIE 0x00000020 +#define MSTATUS_HPIE 0x00000040 +#define MSTATUS_MPIE 0x00000080 +#define MSTATUS_SPP 0x00000100 +#define MSTATUS_HPP 0x00000600 +#define MSTATUS_MPP 0x00001800 +#define MSTATUS_FS 0x00006000 +#define MSTATUS_XS 0x00018000 +#define MSTATUS_MPRV 0x00020000 +#define MSTATUS_PUM 0x00040000 /* until: priv-1.9.1 */ +#define MSTATUS_SUM 0x00040000 /* since: priv-1.10 */ +#define MSTATUS_MXR 0x00080000 +#define MSTATUS_VM 0x1F000000 /* until: priv-1.9.1 */ +#define MSTATUS_TVM 0x00100000 /* since: priv-1.10 */ +#define MSTATUS_TW 0x20000000 /* since: priv-1.10 */ +#define MSTATUS_TSR 0x40000000 /* since: priv-1.10 */ + +#define MSTATUS64_UXL 0x0000000300000000ULL +#define MSTATUS64_SXL 0x0000000C00000000ULL + +#define MSTATUS32_SD 0x80000000 +#define MSTATUS64_SD 0x8000000000000000ULL + +#if defined(TARGET_RISCV32) +#define MSTATUS_SD MSTATUS32_SD +#elif defined(TARGET_RISCV64) +#define MSTATUS_SD MSTATUS64_SD +#endif + +/* sstatus bits */ +#define SSTATUS_UIE 0x00000001 +#define SSTATUS_SIE 0x00000002 +#define SSTATUS_UPIE 0x00000010 +#define SSTATUS_SPIE 0x00000020 +#define SSTATUS_SPP 0x00000100 +#define SSTATUS_FS 0x00006000 +#define SSTATUS_XS 0x00018000 +#define SSTATUS_PUM 0x00040000 /* until: priv-1.9.1 */ +#define SSTATUS_SUM 0x00040000 /* since: priv-1.10 */ +#define SSTATUS_MXR 0x00080000 + +#define SSTATUS32_SD 0x80000000 +#define SSTATUS64_SD 0x8000000000000000ULL + +#if defined(TARGET_RISCV32) +#define SSTATUS_SD SSTATUS32_SD +#elif defined(TARGET_RISCV64) +#define SSTATUS_SD SSTATUS64_SD +#endif + +/* irqs */ +#define MIP_SSIP (1 << IRQ_S_SOFT) +#define MIP_HSIP (1 << IRQ_H_SOFT) +#define MIP_MSIP (1 << IRQ_M_SOFT) +#define MIP_STIP (1 << IRQ_S_TIMER) +#define MIP_HTIP (1 << IRQ_H_TIMER) +#define MIP_MTIP (1 << IRQ_M_TIMER) +#define MIP_SEIP (1 << IRQ_S_EXT) +#define MIP_HEIP (1 << IRQ_H_EXT) +#define MIP_MEIP (1 << IRQ_M_EXT) + +#define SIP_SSIP MIP_SSIP +#define SIP_STIP MIP_STIP +#define SIP_SEIP MIP_SEIP + +#define PRV_U 0 +#define PRV_S 1 +#define PRV_H 2 +#define PRV_M 3 + +/* privileged ISA 1.9.1 VM modes (mstatus.vm) */ +#define VM_1_09_MBARE 0 +#define VM_1_09_MBB 1 +#define VM_1_09_MBBID 2 +#define VM_1_09_SV32 8 +#define VM_1_09_SV39 9 +#define VM_1_09_SV48 10 + +/* privileged ISA 1.10.0 VM modes (satp.mode) */ +#define VM_1_10_MBARE 0 +#define VM_1_10_SV32 1 +#define VM_1_10_SV39 8 +#define VM_1_10_SV48 9 +#define VM_1_10_SV57 10 +#define VM_1_10_SV64 11 + +/* privileged ISA interrupt causes */ +#define IRQ_U_SOFT 0 /* since: priv-1.10 */ +#define IRQ_S_SOFT 1 +#define IRQ_H_SOFT 2 /* until: priv-1.9.1 */ +#define IRQ_M_SOFT 3 /* until: priv-1.9.1 */ +#define IRQ_U_TIMER 4 /* since: priv-1.10 */ +#define IRQ_S_TIMER 5 +#define IRQ_H_TIMER 6 /* until: priv-1.9.1 */ +#define IRQ_M_TIMER 7 /* until: priv-1.9.1 */ +#define IRQ_U_EXT 8 /* since: priv-1.10 */ +#define IRQ_S_EXT 9 +#define IRQ_H_EXT 10 /* until: priv-1.9.1 */ +#define IRQ_M_EXT 11 /* until: priv-1.9.1 */ +#define IRQ_X_COP 12 /* non-standard */ +#define IRQ_X_HOST 13 /* non-standard */ + +/* Default addresses */ +#define DEFAULT_RSTVEC 0x00001000 +#define DEFAULT_NMIVEC 0x00001004 +#define DEFAULT_MTVEC 0x00001010 +#define CONFIG_STRING_ADDR 0x0000100C +#define EXT_IO_BASE 0x40000000 +#define DRAM_BASE 0x80000000 + +/* RV32 satp field masks */ +#define SATP32_MODE 0x80000000 +#define SATP32_ASID 0x7fc00000 +#define SATP32_PPN 0x003fffff + +/* RV64 satp field masks */ +#define SATP64_MODE 0xF000000000000000ULL +#define SATP64_ASID 0x0FFFF00000000000ULL +#define SATP64_PPN 0x00000FFFFFFFFFFFULL + +#if defined(TARGET_RISCV32) +#define SATP_MODE SATP32_MODE +#define SATP_ASID SATP32_ASID +#define SATP_PPN SATP32_PPN +#endif +#if defined(TARGET_RISCV64) +#define SATP_MODE SATP64_MODE +#define SATP_ASID SATP64_ASID +#define SATP_PPN SATP64_PPN +#endif + +/* RISCV Exception Codes */ +#define EXCP_NONE -1 /* not a real RISCV exception c= ode */ +#define RISCV_EXCP_INST_ADDR_MIS 0x0 +#define RISCV_EXCP_INST_ACCESS_FAULT 0x1 +#define RISCV_EXCP_ILLEGAL_INST 0x2 +#define RISCV_EXCP_BREAKPOINT 0x3 +#define RISCV_EXCP_LOAD_ADDR_MIS 0x4 +#define RISCV_EXCP_LOAD_ACCESS_FAULT 0x5 +#define RISCV_EXCP_STORE_AMO_ADDR_MIS 0x6 +#define RISCV_EXCP_STORE_AMO_ACCESS_FAULT 0x7 +#define RISCV_EXCP_U_ECALL 0x8 /* for convenience, report = all + ECALLs as this, handler + fixes */ +#define RISCV_EXCP_S_ECALL 0x9 +#define RISCV_EXCP_H_ECALL 0xa +#define RISCV_EXCP_M_ECALL 0xb +#define RISCV_EXCP_INST_PAGE_FAULT 0xc /* since: priv-1.10.0 */ +#define RISCV_EXCP_LOAD_PAGE_FAULT 0xd /* since: priv-1.10.0 */ +#define RISCV_EXCP_STORE_PAGE_FAULT 0xf /* since: priv-1.10.0 */ + +#define RISCV_EXCP_INT_FLAG 0x80000000 +#define RISCV_EXCP_INT_MASK 0x7fffffff + +/* page table entry (PTE) fields */ +#define PTE_V 0x001 /* Valid */ +#define PTE_R 0x002 /* Read */ +#define PTE_W 0x004 /* Write */ +#define PTE_X 0x008 /* Execute */ +#define PTE_U 0x010 /* User */ +#define PTE_G 0x020 /* Global */ +#define PTE_A 0x040 /* Accessed */ +#define PTE_D 0x080 /* Dirty */ +#define PTE_SOFT 0x300 /* Reserved for Software */ + +#define PTE_PPN_SHIFT 10 + +#define PTE_TABLE(PTE) (((PTE) & (PTE_V | PTE_R | PTE_W | PTE_X)) =3D=3D P= TE_V) --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515638114683170.19962200333316; Wed, 10 Jan 2018 18:35:14 -0800 (PST) Received: from localhost ([::1]:59967 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZShy-000458-Dq for importer@patchew.org; Wed, 10 Jan 2018 21:35:10 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48102) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWk-0003GO-TQ for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:46 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWa-0008EJ-Dw for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:34 -0500 Received: from mail-pg0-x22d.google.com ([2607:f8b0:400e:c05::22d]:43073) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWZ-0008CA-Ti for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:24 -0500 Received: by mail-pg0-x22d.google.com with SMTP id f14so1645149pga.10 for ; Wed, 10 Jan 2018 18:23:23 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.20 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:20 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=/pWOP1ARcyC/A6p9KxVZ90DQh5sEXWI2DCIOGgOo/4Q=; b=TYkznBqGUb9NwdXrka1jRUUHB+ysefAJQR8GTojFF5M6YF0jX7tldCiqquD0pcrCM6 fLYx/lDssRV5KddJ1qANyGaoFubpttpr9GiJ/BvK7+sfTTORvHSaxl6tV/Z6IomD8IAT AI1TP9gisywtZiJ/kp8brfKv0LbYZSDrfUoJ3ndKcyBo9UHzkFYU0lSv675ZS0ORCPKp SzMXckzw0XoNo3jVIqgCJqsZfqTLHDA0qwBE4PcGwdO87hAHy4TV/ZJdLHQx3QZHs0hU 3G9iDjaNHMxT5BFAbADH/XvVZ4Lwy+Rgu6ShInK8P1XpjxvbGgAc5ubApzN991vqqLkq zlbw== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=/pWOP1ARcyC/A6p9KxVZ90DQh5sEXWI2DCIOGgOo/4Q=; b=uoVfy8vR5NeRi/dsjOrtbHYGdIzutOT9JI9lyFnWmWJ76OuavMkEAnID1JD+Mjq0R3 7tFWW6HylzPqPa572g84pH8wtLIIxwl90y4PzpbbL1stT5VSepM+3qf43PJu2xJVPNsS bmgyppoTHuW9iRFkP2XAwZ8C7cf8PEGvCSFzgnAXmKfrfbHkx97mhW03BueuG8bUTuQX 3FFHNp/IxcAHJgoBuGZ0BVMb//GmNufQi4R53v89G4jdXJZyDBHd+KEwRmZq8A0aMNMF +xnFhPqYmn1JGks2lT8h2s4hqNGAU4JMC5O+9nsYReXbc9DZaGKKYWWmbGXGZOBJeZP5 kIAQ== X-Gm-Message-State: AKGB3mIdLtuX9iwILODtsUv9z1J+s3LuVUMSCGc6McYuQcXUDvII7N2/ 0dFrfj+prYX9oEA/WIbHeZyxW9Lx16I= X-Google-Smtp-Source: ACJfBos+OFqdf+Do/dE/I/js5I0d84z3Y4cYDK8ygNtyDZs0VtHgziNbGINqFmYavD9cC4KGMoM0wg== X-Received: by 10.101.66.12 with SMTP id c12mr17162486pgq.105.1515637401705; Wed, 10 Jan 2018 18:23:21 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:47 -0800 Message-Id: <1515637324-96034-5-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c05::22d Subject: [Qemu-devel] [PATCH v3 04/21] RISC-V Disassembler X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" The RISC-V disassembler has no dependencies outside of the 'disas' directory so it can be applied independently. The majority of the disassembler is machine-generated from instruction set metadata: - https://github.com/michaeljclark/riscv-meta Expected checkpatch errors for consistency and brevity reasons: ERROR: line over 90 characters ERROR: trailing statements should be on next line ERROR: space prohibited between function name and open parenthesis '(' Signed-off-by: Michael Clark Reviewed-by: Richard Henderson --- disas.c | 2 + disas/Makefile.objs | 1 + disas/riscv.c | 3054 +++++++++++++++++++++++++++++++++++++++++++++++= ++++ include/disas/bfd.h | 2 + 4 files changed, 3059 insertions(+) create mode 100644 disas/riscv.c diff --git a/disas.c b/disas.c index d4ad108..5325b7e 100644 --- a/disas.c +++ b/disas.c @@ -522,6 +522,8 @@ void disas(FILE *out, void *code, unsigned long size) # ifdef _ARCH_PPC64 s.info.cap_mode =3D CS_MODE_64; # endif +#elif defined(__riscv__) + print_insn =3D print_insn_riscv; #elif defined(__aarch64__) && defined(CONFIG_ARM_A64_DIS) print_insn =3D print_insn_arm_a64; s.info.cap_arch =3D CS_ARCH_ARM64; diff --git a/disas/Makefile.objs b/disas/Makefile.objs index 194648f..95c64cf 100644 --- a/disas/Makefile.objs +++ b/disas/Makefile.objs @@ -17,6 +17,7 @@ common-obj-$(CONFIG_MIPS_DIS) +=3D mips.o common-obj-$(CONFIG_NIOS2_DIS) +=3D nios2.o common-obj-$(CONFIG_MOXIE_DIS) +=3D moxie.o common-obj-$(CONFIG_PPC_DIS) +=3D ppc.o +common-obj-$(CONFIG_RISCV_DIS) +=3D riscv.o common-obj-$(CONFIG_S390_DIS) +=3D s390.o common-obj-$(CONFIG_SH4_DIS) +=3D sh4.o common-obj-$(CONFIG_SPARC_DIS) +=3D sparc.o diff --git a/disas/riscv.c b/disas/riscv.c new file mode 100644 index 0000000..d0f1d72 --- /dev/null +++ b/disas/riscv.c @@ -0,0 +1,3054 @@ +/* + * QEMU RISC-V Disassembler + * + * Copyright (c) 2016-2017 Michael Clark + * Copyright (c) 2017-2018 SiFive, Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#include "qemu/osdep.h" +#include "disas/bfd.h" + + +/* types */ + +typedef uint64_t rv_inst; +typedef uint16_t rv_opcode; + +/* enums */ + +typedef enum { + rv32, + rv64, + rv128 +} rv_isa; + +typedef enum { + rv_rm_rne =3D 0, + rv_rm_rtz =3D 1, + rv_rm_rdn =3D 2, + rv_rm_rup =3D 3, + rv_rm_rmm =3D 4, + rv_rm_dyn =3D 7, +} rv_rm; + +typedef enum { + rv_fence_i =3D 8, + rv_fence_o =3D 4, + rv_fence_r =3D 2, + rv_fence_w =3D 1, +} rv_fence; + +typedef enum { + rv_ireg_zero, + rv_ireg_ra, + rv_ireg_sp, + rv_ireg_gp, + rv_ireg_tp, + rv_ireg_t0, + rv_ireg_t1, + rv_ireg_t2, + rv_ireg_s0, + rv_ireg_s1, + rv_ireg_a0, + rv_ireg_a1, + rv_ireg_a2, + rv_ireg_a3, + rv_ireg_a4, + rv_ireg_a5, + rv_ireg_a6, + rv_ireg_a7, + rv_ireg_s2, + rv_ireg_s3, + rv_ireg_s4, + rv_ireg_s5, + rv_ireg_s6, + rv_ireg_s7, + rv_ireg_s8, + rv_ireg_s9, + rv_ireg_s10, + rv_ireg_s11, + rv_ireg_t3, + rv_ireg_t4, + rv_ireg_t5, + rv_ireg_t6, +} rv_ireg; + +typedef enum { + rvc_end, + rvc_simm_6, + rvc_imm_6, + rvc_imm_7, + rvc_imm_8, + rvc_imm_9, + rvc_imm_10, + rvc_imm_12, + rvc_imm_18, + rvc_imm_nz, + rvc_imm_x2, + rvc_imm_x4, + rvc_imm_x8, + rvc_imm_x16, + rvc_rd_b3, + rvc_rs1_b3, + rvc_rs2_b3, + rvc_rd_eq_rs1, + rvc_rd_eq_ra, + rvc_rd_eq_sp, + rvc_rd_eq_x0, + rvc_rs1_eq_sp, + rvc_rs1_eq_x0, + rvc_rs2_eq_x0, + rvc_rd_ne_x0_x2, + rvc_rd_ne_x0, + rvc_rs1_ne_x0, + rvc_rs2_ne_x0, + rvc_rs2_eq_rs1, + rvc_rs1_eq_ra, + rvc_imm_eq_zero, + rvc_imm_eq_n1, + rvc_imm_eq_p1, + rvc_csr_eq_0x001, + rvc_csr_eq_0x002, + rvc_csr_eq_0x003, + rvc_csr_eq_0xc00, + rvc_csr_eq_0xc01, + rvc_csr_eq_0xc02, + rvc_csr_eq_0xc80, + rvc_csr_eq_0xc81, + rvc_csr_eq_0xc82, +} rvc_constraint; + +typedef enum { + rv_codec_illegal, + rv_codec_none, + rv_codec_u, + rv_codec_uj, + rv_codec_i, + rv_codec_i_sh5, + rv_codec_i_sh6, + rv_codec_i_sh7, + rv_codec_i_csr, + rv_codec_s, + rv_codec_sb, + rv_codec_r, + rv_codec_r_m, + rv_codec_r4_m, + rv_codec_r_a, + rv_codec_r_l, + rv_codec_r_f, + rv_codec_cb, + rv_codec_cb_imm, + rv_codec_cb_sh5, + rv_codec_cb_sh6, + rv_codec_ci, + rv_codec_ci_sh5, + rv_codec_ci_sh6, + rv_codec_ci_16sp, + rv_codec_ci_lwsp, + rv_codec_ci_ldsp, + rv_codec_ci_lqsp, + rv_codec_ci_li, + rv_codec_ci_lui, + rv_codec_ci_none, + rv_codec_ciw_4spn, + rv_codec_cj, + rv_codec_cj_jal, + rv_codec_cl_lw, + rv_codec_cl_ld, + rv_codec_cl_lq, + rv_codec_cr, + rv_codec_cr_mv, + rv_codec_cr_jalr, + rv_codec_cr_jr, + rv_codec_cs, + rv_codec_cs_sw, + rv_codec_cs_sd, + rv_codec_cs_sq, + rv_codec_css_swsp, + rv_codec_css_sdsp, + rv_codec_css_sqsp, +} rv_codec; + +typedef enum { + rv_op_illegal =3D 0, + rv_op_lui =3D 1, + rv_op_auipc =3D 2, + rv_op_jal =3D 3, + rv_op_jalr =3D 4, + rv_op_beq =3D 5, + rv_op_bne =3D 6, + rv_op_blt =3D 7, + rv_op_bge =3D 8, + rv_op_bltu =3D 9, + rv_op_bgeu =3D 10, + rv_op_lb =3D 11, + rv_op_lh =3D 12, + rv_op_lw =3D 13, + rv_op_lbu =3D 14, + rv_op_lhu =3D 15, + rv_op_sb =3D 16, + rv_op_sh =3D 17, + rv_op_sw =3D 18, + rv_op_addi =3D 19, + rv_op_slti =3D 20, + rv_op_sltiu =3D 21, + rv_op_xori =3D 22, + rv_op_ori =3D 23, + rv_op_andi =3D 24, + rv_op_slli =3D 25, + rv_op_srli =3D 26, + rv_op_srai =3D 27, + rv_op_add =3D 28, + rv_op_sub =3D 29, + rv_op_sll =3D 30, + rv_op_slt =3D 31, + rv_op_sltu =3D 32, + rv_op_xor =3D 33, + rv_op_srl =3D 34, + rv_op_sra =3D 35, + rv_op_or =3D 36, + rv_op_and =3D 37, + rv_op_fence =3D 38, + rv_op_fence_i =3D 39, + rv_op_lwu =3D 40, + rv_op_ld =3D 41, + rv_op_sd =3D 42, + rv_op_addiw =3D 43, + rv_op_slliw =3D 44, + rv_op_srliw =3D 45, + rv_op_sraiw =3D 46, + rv_op_addw =3D 47, + rv_op_subw =3D 48, + rv_op_sllw =3D 49, + rv_op_srlw =3D 50, + rv_op_sraw =3D 51, + rv_op_ldu =3D 52, + rv_op_lq =3D 53, + rv_op_sq =3D 54, + rv_op_addid =3D 55, + rv_op_sllid =3D 56, + rv_op_srlid =3D 57, + rv_op_sraid =3D 58, + rv_op_addd =3D 59, + rv_op_subd =3D 60, + rv_op_slld =3D 61, + rv_op_srld =3D 62, + rv_op_srad =3D 63, + rv_op_mul =3D 64, + rv_op_mulh =3D 65, + rv_op_mulhsu =3D 66, + rv_op_mulhu =3D 67, + rv_op_div =3D 68, + rv_op_divu =3D 69, + rv_op_rem =3D 70, + rv_op_remu =3D 71, + rv_op_mulw =3D 72, + rv_op_divw =3D 73, + rv_op_divuw =3D 74, + rv_op_remw =3D 75, + rv_op_remuw =3D 76, + rv_op_muld =3D 77, + rv_op_divd =3D 78, + rv_op_divud =3D 79, + rv_op_remd =3D 80, + rv_op_remud =3D 81, + rv_op_lr_w =3D 82, + rv_op_sc_w =3D 83, + rv_op_amoswap_w =3D 84, + rv_op_amoadd_w =3D 85, + rv_op_amoxor_w =3D 86, + rv_op_amoor_w =3D 87, + rv_op_amoand_w =3D 88, + rv_op_amomin_w =3D 89, + rv_op_amomax_w =3D 90, + rv_op_amominu_w =3D 91, + rv_op_amomaxu_w =3D 92, + rv_op_lr_d =3D 93, + rv_op_sc_d =3D 94, + rv_op_amoswap_d =3D 95, + rv_op_amoadd_d =3D 96, + rv_op_amoxor_d =3D 97, + rv_op_amoor_d =3D 98, + rv_op_amoand_d =3D 99, + rv_op_amomin_d =3D 100, + rv_op_amomax_d =3D 101, + rv_op_amominu_d =3D 102, + rv_op_amomaxu_d =3D 103, + rv_op_lr_q =3D 104, + rv_op_sc_q =3D 105, + rv_op_amoswap_q =3D 106, + rv_op_amoadd_q =3D 107, + rv_op_amoxor_q =3D 108, + rv_op_amoor_q =3D 109, + rv_op_amoand_q =3D 110, + rv_op_amomin_q =3D 111, + rv_op_amomax_q =3D 112, + rv_op_amominu_q =3D 113, + rv_op_amomaxu_q =3D 114, + rv_op_ecall =3D 115, + rv_op_ebreak =3D 116, + rv_op_uret =3D 117, + rv_op_sret =3D 118, + rv_op_hret =3D 119, + rv_op_mret =3D 120, + rv_op_dret =3D 121, + rv_op_sfence_vm =3D 122, + rv_op_sfence_vma =3D 123, + rv_op_wfi =3D 124, + rv_op_csrrw =3D 125, + rv_op_csrrs =3D 126, + rv_op_csrrc =3D 127, + rv_op_csrrwi =3D 128, + rv_op_csrrsi =3D 129, + rv_op_csrrci =3D 130, + rv_op_flw =3D 131, + rv_op_fsw =3D 132, + rv_op_fmadd_s =3D 133, + rv_op_fmsub_s =3D 134, + rv_op_fnmsub_s =3D 135, + rv_op_fnmadd_s =3D 136, + rv_op_fadd_s =3D 137, + rv_op_fsub_s =3D 138, + rv_op_fmul_s =3D 139, + rv_op_fdiv_s =3D 140, + rv_op_fsgnj_s =3D 141, + rv_op_fsgnjn_s =3D 142, + rv_op_fsgnjx_s =3D 143, + rv_op_fmin_s =3D 144, + rv_op_fmax_s =3D 145, + rv_op_fsqrt_s =3D 146, + rv_op_fle_s =3D 147, + rv_op_flt_s =3D 148, + rv_op_feq_s =3D 149, + rv_op_fcvt_w_s =3D 150, + rv_op_fcvt_wu_s =3D 151, + rv_op_fcvt_s_w =3D 152, + rv_op_fcvt_s_wu =3D 153, + rv_op_fmv_x_s =3D 154, + rv_op_fclass_s =3D 155, + rv_op_fmv_s_x =3D 156, + rv_op_fcvt_l_s =3D 157, + rv_op_fcvt_lu_s =3D 158, + rv_op_fcvt_s_l =3D 159, + rv_op_fcvt_s_lu =3D 160, + rv_op_fld =3D 161, + rv_op_fsd =3D 162, + rv_op_fmadd_d =3D 163, + rv_op_fmsub_d =3D 164, + rv_op_fnmsub_d =3D 165, + rv_op_fnmadd_d =3D 166, + rv_op_fadd_d =3D 167, + rv_op_fsub_d =3D 168, + rv_op_fmul_d =3D 169, + rv_op_fdiv_d =3D 170, + rv_op_fsgnj_d =3D 171, + rv_op_fsgnjn_d =3D 172, + rv_op_fsgnjx_d =3D 173, + rv_op_fmin_d =3D 174, + rv_op_fmax_d =3D 175, + rv_op_fcvt_s_d =3D 176, + rv_op_fcvt_d_s =3D 177, + rv_op_fsqrt_d =3D 178, + rv_op_fle_d =3D 179, + rv_op_flt_d =3D 180, + rv_op_feq_d =3D 181, + rv_op_fcvt_w_d =3D 182, + rv_op_fcvt_wu_d =3D 183, + rv_op_fcvt_d_w =3D 184, + rv_op_fcvt_d_wu =3D 185, + rv_op_fclass_d =3D 186, + rv_op_fcvt_l_d =3D 187, + rv_op_fcvt_lu_d =3D 188, + rv_op_fmv_x_d =3D 189, + rv_op_fcvt_d_l =3D 190, + rv_op_fcvt_d_lu =3D 191, + rv_op_fmv_d_x =3D 192, + rv_op_flq =3D 193, + rv_op_fsq =3D 194, + rv_op_fmadd_q =3D 195, + rv_op_fmsub_q =3D 196, + rv_op_fnmsub_q =3D 197, + rv_op_fnmadd_q =3D 198, + rv_op_fadd_q =3D 199, + rv_op_fsub_q =3D 200, + rv_op_fmul_q =3D 201, + rv_op_fdiv_q =3D 202, + rv_op_fsgnj_q =3D 203, + rv_op_fsgnjn_q =3D 204, + rv_op_fsgnjx_q =3D 205, + rv_op_fmin_q =3D 206, + rv_op_fmax_q =3D 207, + rv_op_fcvt_s_q =3D 208, + rv_op_fcvt_q_s =3D 209, + rv_op_fcvt_d_q =3D 210, + rv_op_fcvt_q_d =3D 211, + rv_op_fsqrt_q =3D 212, + rv_op_fle_q =3D 213, + rv_op_flt_q =3D 214, + rv_op_feq_q =3D 215, + rv_op_fcvt_w_q =3D 216, + rv_op_fcvt_wu_q =3D 217, + rv_op_fcvt_q_w =3D 218, + rv_op_fcvt_q_wu =3D 219, + rv_op_fclass_q =3D 220, + rv_op_fcvt_l_q =3D 221, + rv_op_fcvt_lu_q =3D 222, + rv_op_fcvt_q_l =3D 223, + rv_op_fcvt_q_lu =3D 224, + rv_op_fmv_x_q =3D 225, + rv_op_fmv_q_x =3D 226, + rv_op_c_addi4spn =3D 227, + rv_op_c_fld =3D 228, + rv_op_c_lw =3D 229, + rv_op_c_flw =3D 230, + rv_op_c_fsd =3D 231, + rv_op_c_sw =3D 232, + rv_op_c_fsw =3D 233, + rv_op_c_nop =3D 234, + rv_op_c_addi =3D 235, + rv_op_c_jal =3D 236, + rv_op_c_li =3D 237, + rv_op_c_addi16sp =3D 238, + rv_op_c_lui =3D 239, + rv_op_c_srli =3D 240, + rv_op_c_srai =3D 241, + rv_op_c_andi =3D 242, + rv_op_c_sub =3D 243, + rv_op_c_xor =3D 244, + rv_op_c_or =3D 245, + rv_op_c_and =3D 246, + rv_op_c_subw =3D 247, + rv_op_c_addw =3D 248, + rv_op_c_j =3D 249, + rv_op_c_beqz =3D 250, + rv_op_c_bnez =3D 251, + rv_op_c_slli =3D 252, + rv_op_c_fldsp =3D 253, + rv_op_c_lwsp =3D 254, + rv_op_c_flwsp =3D 255, + rv_op_c_jr =3D 256, + rv_op_c_mv =3D 257, + rv_op_c_ebreak =3D 258, + rv_op_c_jalr =3D 259, + rv_op_c_add =3D 260, + rv_op_c_fsdsp =3D 261, + rv_op_c_swsp =3D 262, + rv_op_c_fswsp =3D 263, + rv_op_c_ld =3D 264, + rv_op_c_sd =3D 265, + rv_op_c_addiw =3D 266, + rv_op_c_ldsp =3D 267, + rv_op_c_sdsp =3D 268, + rv_op_c_lq =3D 269, + rv_op_c_sq =3D 270, + rv_op_c_lqsp =3D 271, + rv_op_c_sqsp =3D 272, + rv_op_nop =3D 273, + rv_op_mv =3D 274, + rv_op_not =3D 275, + rv_op_neg =3D 276, + rv_op_negw =3D 277, + rv_op_sext_w =3D 278, + rv_op_seqz =3D 279, + rv_op_snez =3D 280, + rv_op_sltz =3D 281, + rv_op_sgtz =3D 282, + rv_op_fmv_s =3D 283, + rv_op_fabs_s =3D 284, + rv_op_fneg_s =3D 285, + rv_op_fmv_d =3D 286, + rv_op_fabs_d =3D 287, + rv_op_fneg_d =3D 288, + rv_op_fmv_q =3D 289, + rv_op_fabs_q =3D 290, + rv_op_fneg_q =3D 291, + rv_op_beqz =3D 292, + rv_op_bnez =3D 293, + rv_op_blez =3D 294, + rv_op_bgez =3D 295, + rv_op_bltz =3D 296, + rv_op_bgtz =3D 297, + rv_op_ble =3D 298, + rv_op_bleu =3D 299, + rv_op_bgt =3D 300, + rv_op_bgtu =3D 301, + rv_op_j =3D 302, + rv_op_ret =3D 303, + rv_op_jr =3D 304, + rv_op_rdcycle =3D 305, + rv_op_rdtime =3D 306, + rv_op_rdinstret =3D 307, + rv_op_rdcycleh =3D 308, + rv_op_rdtimeh =3D 309, + rv_op_rdinstreth =3D 310, + rv_op_frcsr =3D 311, + rv_op_frrm =3D 312, + rv_op_frflags =3D 313, + rv_op_fscsr =3D 314, + rv_op_fsrm =3D 315, + rv_op_fsflags =3D 316, + rv_op_fsrmi =3D 317, + rv_op_fsflagsi =3D 318, +} rv_op; + +/* structures */ + +typedef struct { + uint64_t pc; + uint64_t inst; + int32_t imm; + uint16_t op; + uint8_t codec; + uint8_t rd; + uint8_t rs1; + uint8_t rs2; + uint8_t rs3; + uint8_t rm; + uint8_t pred; + uint8_t succ; + uint8_t aq; + uint8_t rl; +} rv_decode; + +typedef struct { + const int op; + const rvc_constraint *constraints; +} rv_comp_data; + +typedef struct { + const char * const name; + const rv_codec codec; + const char * const format; + const rv_comp_data *pseudo; + const int decomp_rv32; + const int decomp_rv64; + const int decomp_rv128; +} rv_opcode_data; + +/* register names */ + +static const char rv_ireg_name_sym[32][5] =3D { + "zero", "ra", "sp", "gp", "tp", "t0", "t1", "t2", + "s0", "s1", "a0", "a1", "a2", "a3", "a4", "a5", + "a6", "a7", "s2", "s3", "s4", "s5", "s6", "s7", + "s8", "s9", "s10", "s11", "t3", "t4", "t5", "t6", +}; + +static const char rv_freg_name_sym[32][5] =3D { + "ft0", "ft1", "ft2", "ft3", "ft4", "ft5", "ft6", "ft7", + "fs0", "fs1", "fa0", "fa1", "fa2", "fa3", "fa4", "fa5", + "fa6", "fa7", "fs2", "fs3", "fs4", "fs5", "fs6", "fs7", + "fs8", "fs9", "fs10", "fs11", "ft8", "ft9", "ft10", "ft11", +}; + +/* instruction formats */ + +#define rv_fmt_none "O\t" +#define rv_fmt_rs1 "O\t1" +#define rv_fmt_offset "O\to" +#define rv_fmt_pred_succ "O\tp,s" +#define rv_fmt_rs1_rs2 "O\t1,2" +#define rv_fmt_rd_imm "O\t0,i" +#define rv_fmt_rd_offset "O\t0,o" +#define rv_fmt_rd_rs1_rs2 "O\t0,1,2" +#define rv_fmt_frd_rs1 "O\t3,1" +#define rv_fmt_rd_frs1 "O\t0,4" +#define rv_fmt_rd_frs1_frs2 "O\t0,4,5" +#define rv_fmt_frd_frs1_frs2 "O\t3,4,5" +#define rv_fmt_rm_frd_frs1 "O\tr,3,4" +#define rv_fmt_rm_frd_rs1 "O\tr,3,1" +#define rv_fmt_rm_rd_frs1 "O\tr,0,4" +#define rv_fmt_rm_frd_frs1_frs2 "O\tr,3,4,5" +#define rv_fmt_rm_frd_frs1_frs2_frs3 "O\tr,3,4,5,6" +#define rv_fmt_rd_rs1_imm "O\t0,1,i" +#define rv_fmt_rd_rs1_offset "O\t0,1,i" +#define rv_fmt_rd_offset_rs1 "O\t0,i(1)" +#define rv_fmt_frd_offset_rs1 "O\t3,i(1)" +#define rv_fmt_rd_csr_rs1 "O\t0,c,1" +#define rv_fmt_rd_csr_zimm "O\t0,c,7" +#define rv_fmt_rs2_offset_rs1 "O\t2,i(1)" +#define rv_fmt_frs2_offset_rs1 "O\t5,i(1)" +#define rv_fmt_rs1_rs2_offset "O\t1,2,o" +#define rv_fmt_rs2_rs1_offset "O\t2,1,o" +#define rv_fmt_aqrl_rd_rs2_rs1 "OAR\t0,2,(1)" +#define rv_fmt_aqrl_rd_rs1 "OAR\t0,(1)" +#define rv_fmt_rd "O\t0" +#define rv_fmt_rd_zimm "O\t0,7" +#define rv_fmt_rd_rs1 "O\t0,1" +#define rv_fmt_rd_rs2 "O\t0,2" +#define rv_fmt_rs1_offset "O\t1,o" +#define rv_fmt_rs2_offset "O\t2,o" + +/* pseudo-instruction constraints */ + +static const rvc_constraint rvcc_jal[] =3D { rvc_rd_eq_ra, rvc_end }; +static const rvc_constraint rvcc_jalr[] =3D { rvc_rd_eq_ra, rvc_imm_eq_zer= o, rvc_end }; +static const rvc_constraint rvcc_nop[] =3D { rvc_rd_eq_x0, rvc_rs1_eq_x0, = rvc_imm_eq_zero, rvc_end }; +static const rvc_constraint rvcc_mv[] =3D { rvc_imm_eq_zero, rvc_end }; +static const rvc_constraint rvcc_not[] =3D { rvc_imm_eq_n1, rvc_end }; +static const rvc_constraint rvcc_neg[] =3D { rvc_rs1_eq_x0, rvc_end }; +static const rvc_constraint rvcc_negw[] =3D { rvc_rs1_eq_x0, rvc_end }; +static const rvc_constraint rvcc_sext_w[] =3D { rvc_rs2_eq_x0, rvc_end }; +static const rvc_constraint rvcc_seqz[] =3D { rvc_imm_eq_p1, rvc_end }; +static const rvc_constraint rvcc_snez[] =3D { rvc_rs1_eq_x0, rvc_end }; +static const rvc_constraint rvcc_sltz[] =3D { rvc_rs2_eq_x0, rvc_end }; +static const rvc_constraint rvcc_sgtz[] =3D { rvc_rs1_eq_x0, rvc_end }; +static const rvc_constraint rvcc_fmv_s[] =3D { rvc_rs2_eq_rs1, rvc_end }; +static const rvc_constraint rvcc_fabs_s[] =3D { rvc_rs2_eq_rs1, rvc_end }; +static const rvc_constraint rvcc_fneg_s[] =3D { rvc_rs2_eq_rs1, rvc_end }; +static const rvc_constraint rvcc_fmv_d[] =3D { rvc_rs2_eq_rs1, rvc_end }; +static const rvc_constraint rvcc_fabs_d[] =3D { rvc_rs2_eq_rs1, rvc_end }; +static const rvc_constraint rvcc_fneg_d[] =3D { rvc_rs2_eq_rs1, rvc_end }; +static const rvc_constraint rvcc_fmv_q[] =3D { rvc_rs2_eq_rs1, rvc_end }; +static const rvc_constraint rvcc_fabs_q[] =3D { rvc_rs2_eq_rs1, rvc_end }; +static const rvc_constraint rvcc_fneg_q[] =3D { rvc_rs2_eq_rs1, rvc_end }; +static const rvc_constraint rvcc_beqz[] =3D { rvc_rs2_eq_x0, rvc_end }; +static const rvc_constraint rvcc_bnez[] =3D { rvc_rs2_eq_x0, rvc_end }; +static const rvc_constraint rvcc_blez[] =3D { rvc_rs1_eq_x0, rvc_end }; +static const rvc_constraint rvcc_bgez[] =3D { rvc_rs2_eq_x0, rvc_end }; +static const rvc_constraint rvcc_bltz[] =3D { rvc_rs2_eq_x0, rvc_end }; +static const rvc_constraint rvcc_bgtz[] =3D { rvc_rs1_eq_x0, rvc_end }; +static const rvc_constraint rvcc_ble[] =3D { rvc_end }; +static const rvc_constraint rvcc_bleu[] =3D { rvc_end }; +static const rvc_constraint rvcc_bgt[] =3D { rvc_end }; +static const rvc_constraint rvcc_bgtu[] =3D { rvc_end }; +static const rvc_constraint rvcc_j[] =3D { rvc_rd_eq_x0, rvc_end }; +static const rvc_constraint rvcc_ret[] =3D { rvc_rd_eq_x0, rvc_rs1_eq_ra, = rvc_end }; +static const rvc_constraint rvcc_jr[] =3D { rvc_rd_eq_x0, rvc_imm_eq_zero,= rvc_end }; +static const rvc_constraint rvcc_rdcycle[] =3D { rvc_rs1_eq_x0, rvc_csr_eq= _0xc00, rvc_end }; +static const rvc_constraint rvcc_rdtime[] =3D { rvc_rs1_eq_x0, rvc_csr_eq_= 0xc01, rvc_end }; +static const rvc_constraint rvcc_rdinstret[] =3D { rvc_rs1_eq_x0, rvc_csr_= eq_0xc02, rvc_end }; +static const rvc_constraint rvcc_rdcycleh[] =3D { rvc_rs1_eq_x0, rvc_csr_e= q_0xc80, rvc_end }; +static const rvc_constraint rvcc_rdtimeh[] =3D { rvc_rs1_eq_x0, rvc_csr_eq= _0xc81, rvc_end }; +static const rvc_constraint rvcc_rdinstreth[] =3D { rvc_rs1_eq_x0, rvc_csr= _eq_0xc80, rvc_end }; +static const rvc_constraint rvcc_frcsr[] =3D { rvc_rs1_eq_x0, rvc_csr_eq_0= x003, rvc_end }; +static const rvc_constraint rvcc_frrm[] =3D { rvc_rs1_eq_x0, rvc_csr_eq_0x= 002, rvc_end }; +static const rvc_constraint rvcc_frflags[] =3D { rvc_rs1_eq_x0, rvc_csr_eq= _0x001, rvc_end }; +static const rvc_constraint rvcc_fscsr[] =3D { rvc_csr_eq_0x003, rvc_end }; +static const rvc_constraint rvcc_fsrm[] =3D { rvc_csr_eq_0x002, rvc_end }; +static const rvc_constraint rvcc_fsflags[] =3D { rvc_csr_eq_0x001, rvc_end= }; +static const rvc_constraint rvcc_fsrmi[] =3D { rvc_csr_eq_0x002, rvc_end }; +static const rvc_constraint rvcc_fsflagsi[] =3D { rvc_csr_eq_0x001, rvc_en= d }; + +/* pseudo-instruction metadata */ + +static const rv_comp_data rvcp_jal[] =3D { + { rv_op_j, rvcc_j }, + { rv_op_jal, rvcc_jal }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_jalr[] =3D { + { rv_op_ret, rvcc_ret }, + { rv_op_jr, rvcc_jr }, + { rv_op_jalr, rvcc_jalr }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_beq[] =3D { + { rv_op_beqz, rvcc_beqz }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_bne[] =3D { + { rv_op_bnez, rvcc_bnez }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_blt[] =3D { + { rv_op_bltz, rvcc_bltz }, + { rv_op_bgtz, rvcc_bgtz }, + { rv_op_bgt, rvcc_bgt }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_bge[] =3D { + { rv_op_blez, rvcc_blez }, + { rv_op_bgez, rvcc_bgez }, + { rv_op_ble, rvcc_ble }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_bltu[] =3D { + { rv_op_bgtu, rvcc_bgtu }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_bgeu[] =3D { + { rv_op_bleu, rvcc_bleu }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_addi[] =3D { + { rv_op_nop, rvcc_nop }, + { rv_op_mv, rvcc_mv }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_sltiu[] =3D { + { rv_op_seqz, rvcc_seqz }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_xori[] =3D { + { rv_op_not, rvcc_not }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_sub[] =3D { + { rv_op_neg, rvcc_neg }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_slt[] =3D { + { rv_op_sltz, rvcc_sltz }, + { rv_op_sgtz, rvcc_sgtz }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_sltu[] =3D { + { rv_op_snez, rvcc_snez }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_addiw[] =3D { + { rv_op_sext_w, rvcc_sext_w }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_subw[] =3D { + { rv_op_negw, rvcc_negw }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_csrrw[] =3D { + { rv_op_fscsr, rvcc_fscsr }, + { rv_op_fsrm, rvcc_fsrm }, + { rv_op_fsflags, rvcc_fsflags }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_csrrs[] =3D { + { rv_op_rdcycle, rvcc_rdcycle }, + { rv_op_rdtime, rvcc_rdtime }, + { rv_op_rdinstret, rvcc_rdinstret }, + { rv_op_rdcycleh, rvcc_rdcycleh }, + { rv_op_rdtimeh, rvcc_rdtimeh }, + { rv_op_rdinstreth, rvcc_rdinstreth }, + { rv_op_frcsr, rvcc_frcsr }, + { rv_op_frrm, rvcc_frrm }, + { rv_op_frflags, rvcc_frflags }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_csrrwi[] =3D { + { rv_op_fsrmi, rvcc_fsrmi }, + { rv_op_fsflagsi, rvcc_fsflagsi }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_fsgnj_s[] =3D { + { rv_op_fmv_s, rvcc_fmv_s }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_fsgnjn_s[] =3D { + { rv_op_fneg_s, rvcc_fneg_s }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_fsgnjx_s[] =3D { + { rv_op_fabs_s, rvcc_fabs_s }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_fsgnj_d[] =3D { + { rv_op_fmv_d, rvcc_fmv_d }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_fsgnjn_d[] =3D { + { rv_op_fneg_d, rvcc_fneg_d }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_fsgnjx_d[] =3D { + { rv_op_fabs_d, rvcc_fabs_d }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_fsgnj_q[] =3D { + { rv_op_fmv_q, rvcc_fmv_q }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_fsgnjn_q[] =3D { + { rv_op_fneg_q, rvcc_fneg_q }, + { rv_op_illegal, NULL } +}; + +static const rv_comp_data rvcp_fsgnjx_q[] =3D { + { rv_op_fabs_q, rvcc_fabs_q }, + { rv_op_illegal, NULL } +}; + +/* instruction metadata */ + +const rv_opcode_data opcode_data[] =3D { + { "illegal", rv_codec_illegal, rv_fmt_none, NULL, 0, 0, 0 }, + { "lui", rv_codec_u, rv_fmt_rd_imm, NULL, 0, 0, 0 }, + { "auipc", rv_codec_u, rv_fmt_rd_offset, NULL, 0, 0, 0 }, + { "jal", rv_codec_uj, rv_fmt_rd_offset, rvcp_jal, 0, 0, 0 }, + { "jalr", rv_codec_i, rv_fmt_rd_rs1_offset, rvcp_jalr, 0, 0, 0 }, + { "beq", rv_codec_sb, rv_fmt_rs1_rs2_offset, rvcp_beq, 0, 0, 0 }, + { "bne", rv_codec_sb, rv_fmt_rs1_rs2_offset, rvcp_bne, 0, 0, 0 }, + { "blt", rv_codec_sb, rv_fmt_rs1_rs2_offset, rvcp_blt, 0, 0, 0 }, + { "bge", rv_codec_sb, rv_fmt_rs1_rs2_offset, rvcp_bge, 0, 0, 0 }, + { "bltu", rv_codec_sb, rv_fmt_rs1_rs2_offset, rvcp_bltu, 0, 0, 0 }, + { "bgeu", rv_codec_sb, rv_fmt_rs1_rs2_offset, rvcp_bgeu, 0, 0, 0 }, + { "lb", rv_codec_i, rv_fmt_rd_offset_rs1, NULL, 0, 0, 0 }, + { "lh", rv_codec_i, rv_fmt_rd_offset_rs1, NULL, 0, 0, 0 }, + { "lw", rv_codec_i, rv_fmt_rd_offset_rs1, NULL, 0, 0, 0 }, + { "lbu", rv_codec_i, rv_fmt_rd_offset_rs1, NULL, 0, 0, 0 }, + { "lhu", rv_codec_i, rv_fmt_rd_offset_rs1, NULL, 0, 0, 0 }, + { "sb", rv_codec_s, rv_fmt_rs2_offset_rs1, NULL, 0, 0, 0 }, + { "sh", rv_codec_s, rv_fmt_rs2_offset_rs1, NULL, 0, 0, 0 }, + { "sw", rv_codec_s, rv_fmt_rs2_offset_rs1, NULL, 0, 0, 0 }, + { "addi", rv_codec_i, rv_fmt_rd_rs1_imm, rvcp_addi, 0, 0, 0 }, + { "slti", rv_codec_i, rv_fmt_rd_rs1_imm, NULL, 0, 0, 0 }, + { "sltiu", rv_codec_i, rv_fmt_rd_rs1_imm, rvcp_sltiu, 0, 0, 0 }, + { "xori", rv_codec_i, rv_fmt_rd_rs1_imm, rvcp_xori, 0, 0, 0 }, + { "ori", rv_codec_i, rv_fmt_rd_rs1_imm, NULL, 0, 0, 0 }, + { "andi", rv_codec_i, rv_fmt_rd_rs1_imm, NULL, 0, 0, 0 }, + { "slli", rv_codec_i_sh7, rv_fmt_rd_rs1_imm, NULL, 0, 0, 0 }, + { "srli", rv_codec_i_sh7, rv_fmt_rd_rs1_imm, NULL, 0, 0, 0 }, + { "srai", rv_codec_i_sh7, rv_fmt_rd_rs1_imm, NULL, 0, 0, 0 }, + { "add", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "sub", rv_codec_r, rv_fmt_rd_rs1_rs2, rvcp_sub, 0, 0, 0 }, + { "sll", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "slt", rv_codec_r, rv_fmt_rd_rs1_rs2, rvcp_slt, 0, 0, 0 }, + { "sltu", rv_codec_r, rv_fmt_rd_rs1_rs2, rvcp_sltu, 0, 0, 0 }, + { "xor", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "srl", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "sra", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "or", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "and", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "fence", rv_codec_r_f, rv_fmt_pred_succ, NULL, 0, 0, 0 }, + { "fence.i", rv_codec_none, rv_fmt_none, NULL, 0, 0, 0 }, + { "lwu", rv_codec_i, rv_fmt_rd_offset_rs1, NULL, 0, 0, 0 }, + { "ld", rv_codec_i, rv_fmt_rd_offset_rs1, NULL, 0, 0, 0 }, + { "sd", rv_codec_s, rv_fmt_rs2_offset_rs1, NULL, 0, 0, 0 }, + { "addiw", rv_codec_i, rv_fmt_rd_rs1_imm, rvcp_addiw, 0, 0, 0 }, + { "slliw", rv_codec_i_sh5, rv_fmt_rd_rs1_imm, NULL, 0, 0, 0 }, + { "srliw", rv_codec_i_sh5, rv_fmt_rd_rs1_imm, NULL, 0, 0, 0 }, + { "sraiw", rv_codec_i_sh5, rv_fmt_rd_rs1_imm, NULL, 0, 0, 0 }, + { "addw", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "subw", rv_codec_r, rv_fmt_rd_rs1_rs2, rvcp_subw, 0, 0, 0 }, + { "sllw", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "srlw", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "sraw", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "ldu", rv_codec_i, rv_fmt_rd_offset_rs1, NULL, 0, 0, 0 }, + { "lq", rv_codec_i, rv_fmt_rd_offset_rs1, NULL, 0, 0, 0 }, + { "sq", rv_codec_s, rv_fmt_rs2_offset_rs1, NULL, 0, 0, 0 }, + { "addid", rv_codec_i, rv_fmt_rd_rs1_imm, NULL, 0, 0, 0 }, + { "sllid", rv_codec_i_sh6, rv_fmt_rd_rs1_imm, NULL, 0, 0, 0 }, + { "srlid", rv_codec_i_sh6, rv_fmt_rd_rs1_imm, NULL, 0, 0, 0 }, + { "sraid", rv_codec_i_sh6, rv_fmt_rd_rs1_imm, NULL, 0, 0, 0 }, + { "addd", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "subd", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "slld", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "srld", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "srad", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "mul", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "mulh", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "mulhsu", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "mulhu", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "div", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "divu", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "rem", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "remu", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "mulw", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "divw", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "divuw", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "remw", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "remuw", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "muld", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "divd", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "divud", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "remd", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "remud", rv_codec_r, rv_fmt_rd_rs1_rs2, NULL, 0, 0, 0 }, + { "lr.w", rv_codec_r_l, rv_fmt_aqrl_rd_rs1, NULL, 0, 0, 0 }, + { "sc.w", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoswap.w", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoadd.w", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoxor.w", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoor.w", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoand.w", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amomin.w", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amomax.w", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amominu.w", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amomaxu.w", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "lr.d", rv_codec_r_l, rv_fmt_aqrl_rd_rs1, NULL, 0, 0, 0 }, + { "sc.d", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoswap.d", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoadd.d", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoxor.d", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoor.d", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoand.d", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amomin.d", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amomax.d", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amominu.d", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amomaxu.d", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "lr.q", rv_codec_r_l, rv_fmt_aqrl_rd_rs1, NULL, 0, 0, 0 }, + { "sc.q", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoswap.q", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoadd.q", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoxor.q", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoor.q", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amoand.q", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amomin.q", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amomax.q", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amominu.q", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "amomaxu.q", rv_codec_r_a, rv_fmt_aqrl_rd_rs2_rs1, NULL, 0, 0, 0 }, + { "ecall", rv_codec_none, rv_fmt_none, NULL, 0, 0, 0 }, + { "ebreak", rv_codec_none, rv_fmt_none, NULL, 0, 0, 0 }, + { "uret", rv_codec_none, rv_fmt_none, NULL, 0, 0, 0 }, + { "sret", rv_codec_none, rv_fmt_none, NULL, 0, 0, 0 }, + { "hret", rv_codec_none, rv_fmt_none, NULL, 0, 0, 0 }, + { "mret", rv_codec_none, rv_fmt_none, NULL, 0, 0, 0 }, + { "dret", rv_codec_none, rv_fmt_none, NULL, 0, 0, 0 }, + { "sfence.vm", rv_codec_r, rv_fmt_rs1, NULL, 0, 0, 0 }, + { "sfence.vma", rv_codec_r, rv_fmt_rs1_rs2, NULL, 0, 0, 0 }, + { "wfi", rv_codec_none, rv_fmt_none, NULL, 0, 0, 0 }, + { "csrrw", rv_codec_i_csr, rv_fmt_rd_csr_rs1, rvcp_csrrw, 0, 0, 0 }, + { "csrrs", rv_codec_i_csr, rv_fmt_rd_csr_rs1, rvcp_csrrs, 0, 0, 0 }, + { "csrrc", rv_codec_i_csr, rv_fmt_rd_csr_rs1, NULL, 0, 0, 0 }, + { "csrrwi", rv_codec_i_csr, rv_fmt_rd_csr_zimm, rvcp_csrrwi, 0, 0, 0 }, + { "csrrsi", rv_codec_i_csr, rv_fmt_rd_csr_zimm, NULL, 0, 0, 0 }, + { "csrrci", rv_codec_i_csr, rv_fmt_rd_csr_zimm, NULL, 0, 0, 0 }, + { "flw", rv_codec_i, rv_fmt_frd_offset_rs1, NULL, 0, 0, 0 }, + { "fsw", rv_codec_s, rv_fmt_frs2_offset_rs1, NULL, 0, 0, 0 }, + { "fmadd.s", rv_codec_r4_m, rv_fmt_rm_frd_frs1_frs2_frs3, NULL, 0, 0, = 0 }, + { "fmsub.s", rv_codec_r4_m, rv_fmt_rm_frd_frs1_frs2_frs3, NULL, 0, 0, = 0 }, + { "fnmsub.s", rv_codec_r4_m, rv_fmt_rm_frd_frs1_frs2_frs3, NULL, 0, 0,= 0 }, + { "fnmadd.s", rv_codec_r4_m, rv_fmt_rm_frd_frs1_frs2_frs3, NULL, 0, 0,= 0 }, + { "fadd.s", rv_codec_r_m, rv_fmt_rm_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fsub.s", rv_codec_r_m, rv_fmt_rm_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fmul.s", rv_codec_r_m, rv_fmt_rm_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fdiv.s", rv_codec_r_m, rv_fmt_rm_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fsgnj.s", rv_codec_r, rv_fmt_frd_frs1_frs2, rvcp_fsgnj_s, 0, 0, 0 }, + { "fsgnjn.s", rv_codec_r, rv_fmt_frd_frs1_frs2, rvcp_fsgnjn_s, 0, 0, 0= }, + { "fsgnjx.s", rv_codec_r, rv_fmt_frd_frs1_frs2, rvcp_fsgnjx_s, 0, 0, 0= }, + { "fmin.s", rv_codec_r, rv_fmt_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fmax.s", rv_codec_r, rv_fmt_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fsqrt.s", rv_codec_r_m, rv_fmt_rm_frd_frs1, NULL, 0, 0, 0 }, + { "fle.s", rv_codec_r, rv_fmt_rd_frs1_frs2, NULL, 0, 0, 0 }, + { "flt.s", rv_codec_r, rv_fmt_rd_frs1_frs2, NULL, 0, 0, 0 }, + { "feq.s", rv_codec_r, rv_fmt_rd_frs1_frs2, NULL, 0, 0, 0 }, + { "fcvt.w.s", rv_codec_r_m, rv_fmt_rm_rd_frs1, NULL, 0, 0, 0 }, + { "fcvt.wu.s", rv_codec_r_m, rv_fmt_rm_rd_frs1, NULL, 0, 0, 0 }, + { "fcvt.s.w", rv_codec_r_m, rv_fmt_rm_frd_rs1, NULL, 0, 0, 0 }, + { "fcvt.s.wu", rv_codec_r_m, rv_fmt_rm_frd_rs1, NULL, 0, 0, 0 }, + { "fmv.x.s", rv_codec_r, rv_fmt_rd_frs1, NULL, 0, 0, 0 }, + { "fclass.s", rv_codec_r, rv_fmt_rd_frs1, NULL, 0, 0, 0 }, + { "fmv.s.x", rv_codec_r, rv_fmt_frd_rs1, NULL, 0, 0, 0 }, + { "fcvt.l.s", rv_codec_r_m, rv_fmt_rm_rd_frs1, NULL, 0, 0, 0 }, + { "fcvt.lu.s", rv_codec_r_m, rv_fmt_rm_rd_frs1, NULL, 0, 0, 0 }, + { "fcvt.s.l", rv_codec_r_m, rv_fmt_rm_frd_rs1, NULL, 0, 0, 0 }, + { "fcvt.s.lu", rv_codec_r_m, rv_fmt_rm_frd_rs1, NULL, 0, 0, 0 }, + { "fld", rv_codec_i, rv_fmt_frd_offset_rs1, NULL, 0, 0, 0 }, + { "fsd", rv_codec_s, rv_fmt_frs2_offset_rs1, NULL, 0, 0, 0 }, + { "fmadd.d", rv_codec_r4_m, rv_fmt_rm_frd_frs1_frs2_frs3, NULL, 0, 0, = 0 }, + { "fmsub.d", rv_codec_r4_m, rv_fmt_rm_frd_frs1_frs2_frs3, NULL, 0, 0, = 0 }, + { "fnmsub.d", rv_codec_r4_m, rv_fmt_rm_frd_frs1_frs2_frs3, NULL, 0, 0,= 0 }, + { "fnmadd.d", rv_codec_r4_m, rv_fmt_rm_frd_frs1_frs2_frs3, NULL, 0, 0,= 0 }, + { "fadd.d", rv_codec_r_m, rv_fmt_rm_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fsub.d", rv_codec_r_m, rv_fmt_rm_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fmul.d", rv_codec_r_m, rv_fmt_rm_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fdiv.d", rv_codec_r_m, rv_fmt_rm_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fsgnj.d", rv_codec_r, rv_fmt_frd_frs1_frs2, rvcp_fsgnj_d, 0, 0, 0 }, + { "fsgnjn.d", rv_codec_r, rv_fmt_frd_frs1_frs2, rvcp_fsgnjn_d, 0, 0, 0= }, + { "fsgnjx.d", rv_codec_r, rv_fmt_frd_frs1_frs2, rvcp_fsgnjx_d, 0, 0, 0= }, + { "fmin.d", rv_codec_r, rv_fmt_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fmax.d", rv_codec_r, rv_fmt_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fcvt.s.d", rv_codec_r_m, rv_fmt_rm_frd_frs1, NULL, 0, 0, 0 }, + { "fcvt.d.s", rv_codec_r_m, rv_fmt_rm_frd_frs1, NULL, 0, 0, 0 }, + { "fsqrt.d", rv_codec_r_m, rv_fmt_rm_frd_frs1, NULL, 0, 0, 0 }, + { "fle.d", rv_codec_r, rv_fmt_rd_frs1_frs2, NULL, 0, 0, 0 }, + { "flt.d", rv_codec_r, rv_fmt_rd_frs1_frs2, NULL, 0, 0, 0 }, + { "feq.d", rv_codec_r, rv_fmt_rd_frs1_frs2, NULL, 0, 0, 0 }, + { "fcvt.w.d", rv_codec_r_m, rv_fmt_rm_rd_frs1, NULL, 0, 0, 0 }, + { "fcvt.wu.d", rv_codec_r_m, rv_fmt_rm_rd_frs1, NULL, 0, 0, 0 }, + { "fcvt.d.w", rv_codec_r_m, rv_fmt_rm_frd_rs1, NULL, 0, 0, 0 }, + { "fcvt.d.wu", rv_codec_r_m, rv_fmt_rm_frd_rs1, NULL, 0, 0, 0 }, + { "fclass.d", rv_codec_r, rv_fmt_rd_frs1, NULL, 0, 0, 0 }, + { "fcvt.l.d", rv_codec_r_m, rv_fmt_rm_rd_frs1, NULL, 0, 0, 0 }, + { "fcvt.lu.d", rv_codec_r_m, rv_fmt_rm_rd_frs1, NULL, 0, 0, 0 }, + { "fmv.x.d", rv_codec_r, rv_fmt_rd_frs1, NULL, 0, 0, 0 }, + { "fcvt.d.l", rv_codec_r_m, rv_fmt_rm_frd_rs1, NULL, 0, 0, 0 }, + { "fcvt.d.lu", rv_codec_r_m, rv_fmt_rm_frd_rs1, NULL, 0, 0, 0 }, + { "fmv.d.x", rv_codec_r, rv_fmt_frd_rs1, NULL, 0, 0, 0 }, + { "flq", rv_codec_i, rv_fmt_frd_offset_rs1, NULL, 0, 0, 0 }, + { "fsq", rv_codec_s, rv_fmt_frs2_offset_rs1, NULL, 0, 0, 0 }, + { "fmadd.q", rv_codec_r4_m, rv_fmt_rm_frd_frs1_frs2_frs3, NULL, 0, 0, = 0 }, + { "fmsub.q", rv_codec_r4_m, rv_fmt_rm_frd_frs1_frs2_frs3, NULL, 0, 0, = 0 }, + { "fnmsub.q", rv_codec_r4_m, rv_fmt_rm_frd_frs1_frs2_frs3, NULL, 0, 0,= 0 }, + { "fnmadd.q", rv_codec_r4_m, rv_fmt_rm_frd_frs1_frs2_frs3, NULL, 0, 0,= 0 }, + { "fadd.q", rv_codec_r_m, rv_fmt_rm_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fsub.q", rv_codec_r_m, rv_fmt_rm_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fmul.q", rv_codec_r_m, rv_fmt_rm_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fdiv.q", rv_codec_r_m, rv_fmt_rm_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fsgnj.q", rv_codec_r, rv_fmt_frd_frs1_frs2, rvcp_fsgnj_q, 0, 0, 0 }, + { "fsgnjn.q", rv_codec_r, rv_fmt_frd_frs1_frs2, rvcp_fsgnjn_q, 0, 0, 0= }, + { "fsgnjx.q", rv_codec_r, rv_fmt_frd_frs1_frs2, rvcp_fsgnjx_q, 0, 0, 0= }, + { "fmin.q", rv_codec_r, rv_fmt_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fmax.q", rv_codec_r, rv_fmt_frd_frs1_frs2, NULL, 0, 0, 0 }, + { "fcvt.s.q", rv_codec_r_m, rv_fmt_rm_frd_frs1, NULL, 0, 0, 0 }, + { "fcvt.q.s", rv_codec_r_m, rv_fmt_rm_frd_frs1, NULL, 0, 0, 0 }, + { "fcvt.d.q", rv_codec_r_m, rv_fmt_rm_frd_frs1, NULL, 0, 0, 0 }, + { "fcvt.q.d", rv_codec_r_m, rv_fmt_rm_frd_frs1, NULL, 0, 0, 0 }, + { "fsqrt.q", rv_codec_r_m, rv_fmt_rm_frd_frs1, NULL, 0, 0, 0 }, + { "fle.q", rv_codec_r, rv_fmt_rd_frs1_frs2, NULL, 0, 0, 0 }, + { "flt.q", rv_codec_r, rv_fmt_rd_frs1_frs2, NULL, 0, 0, 0 }, + { "feq.q", rv_codec_r, rv_fmt_rd_frs1_frs2, NULL, 0, 0, 0 }, + { "fcvt.w.q", rv_codec_r_m, rv_fmt_rm_rd_frs1, NULL, 0, 0, 0 }, + { "fcvt.wu.q", rv_codec_r_m, rv_fmt_rm_rd_frs1, NULL, 0, 0, 0 }, + { "fcvt.q.w", rv_codec_r_m, rv_fmt_rm_frd_rs1, NULL, 0, 0, 0 }, + { "fcvt.q.wu", rv_codec_r_m, rv_fmt_rm_frd_rs1, NULL, 0, 0, 0 }, + { "fclass.q", rv_codec_r, rv_fmt_rd_frs1, NULL, 0, 0, 0 }, + { "fcvt.l.q", rv_codec_r_m, rv_fmt_rm_rd_frs1, NULL, 0, 0, 0 }, + { "fcvt.lu.q", rv_codec_r_m, rv_fmt_rm_rd_frs1, NULL, 0, 0, 0 }, + { "fcvt.q.l", rv_codec_r_m, rv_fmt_rm_frd_rs1, NULL, 0, 0, 0 }, + { "fcvt.q.lu", rv_codec_r_m, rv_fmt_rm_frd_rs1, NULL, 0, 0, 0 }, + { "fmv.x.q", rv_codec_r, rv_fmt_rd_frs1, NULL, 0, 0, 0 }, + { "fmv.q.x", rv_codec_r, rv_fmt_frd_rs1, NULL, 0, 0, 0 }, + { "c.addi4spn", rv_codec_ciw_4spn, rv_fmt_rd_rs1_imm, NULL, rv_op_addi= , rv_op_addi, rv_op_addi }, + { "c.fld", rv_codec_cl_ld, rv_fmt_frd_offset_rs1, NULL, rv_op_fld, rv_= op_fld, 0 }, + { "c.lw", rv_codec_cl_lw, rv_fmt_rd_offset_rs1, NULL, rv_op_lw, rv_op_= lw, rv_op_lw }, + { "c.flw", rv_codec_cl_lw, rv_fmt_frd_offset_rs1, NULL, rv_op_flw, 0, = 0 }, + { "c.fsd", rv_codec_cs_sd, rv_fmt_frs2_offset_rs1, NULL, rv_op_fsd, rv= _op_fsd, 0 }, + { "c.sw", rv_codec_cs_sw, rv_fmt_rs2_offset_rs1, NULL, rv_op_sw, rv_op= _sw, rv_op_sw }, + { "c.fsw", rv_codec_cs_sw, rv_fmt_frs2_offset_rs1, NULL, rv_op_fsw, 0,= 0 }, + { "c.nop", rv_codec_ci_none, rv_fmt_none, NULL, rv_op_addi, rv_op_addi= , rv_op_addi }, + { "c.addi", rv_codec_ci, rv_fmt_rd_rs1_imm, NULL, rv_op_addi, rv_op_ad= di, rv_op_addi }, + { "c.jal", rv_codec_cj_jal, rv_fmt_rd_offset, NULL, rv_op_jal, 0, 0 }, + { "c.li", rv_codec_ci_li, rv_fmt_rd_rs1_imm, NULL, rv_op_addi, rv_op_a= ddi, rv_op_addi }, + { "c.addi16sp", rv_codec_ci_16sp, rv_fmt_rd_rs1_imm, NULL, rv_op_addi,= rv_op_addi, rv_op_addi }, + { "c.lui", rv_codec_ci_lui, rv_fmt_rd_imm, NULL, rv_op_lui, rv_op_lui,= rv_op_lui }, + { "c.srli", rv_codec_cb_sh6, rv_fmt_rd_rs1_imm, NULL, rv_op_srli, rv_o= p_srli, rv_op_srli }, + { "c.srai", rv_codec_cb_sh6, rv_fmt_rd_rs1_imm, NULL, rv_op_srai, rv_o= p_srai, rv_op_srai }, + { "c.andi", rv_codec_cb_imm, rv_fmt_rd_rs1_imm, NULL, rv_op_andi, rv_o= p_andi, rv_op_andi }, + { "c.sub", rv_codec_cs, rv_fmt_rd_rs1_rs2, NULL, rv_op_sub, rv_op_sub,= rv_op_sub }, + { "c.xor", rv_codec_cs, rv_fmt_rd_rs1_rs2, NULL, rv_op_xor, rv_op_xor,= rv_op_xor }, + { "c.or", rv_codec_cs, rv_fmt_rd_rs1_rs2, NULL, rv_op_or, rv_op_or, rv= _op_or }, + { "c.and", rv_codec_cs, rv_fmt_rd_rs1_rs2, NULL, rv_op_and, rv_op_and,= rv_op_and }, + { "c.subw", rv_codec_cs, rv_fmt_rd_rs1_rs2, NULL, rv_op_subw, rv_op_su= bw, rv_op_subw }, + { "c.addw", rv_codec_cs, rv_fmt_rd_rs1_rs2, NULL, rv_op_addw, rv_op_ad= dw, rv_op_addw }, + { "c.j", rv_codec_cj, rv_fmt_rd_offset, NULL, rv_op_jal, rv_op_jal, rv= _op_jal }, + { "c.beqz", rv_codec_cb, rv_fmt_rs1_rs2_offset, NULL, rv_op_beq, rv_op= _beq, rv_op_beq }, + { "c.bnez", rv_codec_cb, rv_fmt_rs1_rs2_offset, NULL, rv_op_bne, rv_op= _bne, rv_op_bne }, + { "c.slli", rv_codec_ci_sh6, rv_fmt_rd_rs1_imm, NULL, rv_op_slli, rv_o= p_slli, rv_op_slli }, + { "c.fldsp", rv_codec_ci_ldsp, rv_fmt_frd_offset_rs1, NULL, rv_op_fld,= rv_op_fld, rv_op_fld }, + { "c.lwsp", rv_codec_ci_lwsp, rv_fmt_rd_offset_rs1, NULL, rv_op_lw, rv= _op_lw, rv_op_lw }, + { "c.flwsp", rv_codec_ci_lwsp, rv_fmt_frd_offset_rs1, NULL, rv_op_flw,= 0, 0 }, + { "c.jr", rv_codec_cr_jr, rv_fmt_rd_rs1_offset, NULL, rv_op_jalr, rv_o= p_jalr, rv_op_jalr }, + { "c.mv", rv_codec_cr_mv, rv_fmt_rd_rs1_rs2, NULL, rv_op_addi, rv_op_a= ddi, rv_op_addi }, + { "c.ebreak", rv_codec_ci_none, rv_fmt_none, NULL, rv_op_ebreak, rv_op= _ebreak, rv_op_ebreak }, + { "c.jalr", rv_codec_cr_jalr, rv_fmt_rd_rs1_offset, NULL, rv_op_jalr, = rv_op_jalr, rv_op_jalr }, + { "c.add", rv_codec_cr, rv_fmt_rd_rs1_rs2, NULL, rv_op_add, rv_op_add,= rv_op_add }, + { "c.fsdsp", rv_codec_css_sdsp, rv_fmt_frs2_offset_rs1, NULL, rv_op_fs= d, rv_op_fsd, rv_op_fsd }, + { "c.swsp", rv_codec_css_swsp, rv_fmt_rs2_offset_rs1, NULL, rv_op_sw, = rv_op_sw, rv_op_sw }, + { "c.fswsp", rv_codec_css_swsp, rv_fmt_frs2_offset_rs1, NULL, rv_op_fs= w, 0, 0 }, + { "c.ld", rv_codec_cl_ld, rv_fmt_rd_offset_rs1, NULL, 0, rv_op_ld, rv_= op_ld }, + { "c.sd", rv_codec_cs_sd, rv_fmt_rs2_offset_rs1, NULL, 0, rv_op_sd, rv= _op_sd }, + { "c.addiw", rv_codec_ci, rv_fmt_rd_rs1_imm, NULL, 0, rv_op_addiw, rv_= op_addiw }, + { "c.ldsp", rv_codec_ci_ldsp, rv_fmt_rd_offset_rs1, NULL, 0, rv_op_ld,= rv_op_ld }, + { "c.sdsp", rv_codec_css_sdsp, rv_fmt_rs2_offset_rs1, NULL, 0, rv_op_s= d, rv_op_sd }, + { "c.lq", rv_codec_cl_lq, rv_fmt_rd_offset_rs1, NULL, 0, 0, rv_op_lq }, + { "c.sq", rv_codec_cs_sq, rv_fmt_rs2_offset_rs1, NULL, 0, 0, rv_op_sq = }, + { "c.lqsp", rv_codec_ci_lqsp, rv_fmt_rd_offset_rs1, NULL, 0, 0, rv_op_= lq }, + { "c.sqsp", rv_codec_css_sqsp, rv_fmt_rs2_offset_rs1, NULL, 0, 0, rv_o= p_sq }, + { "nop", rv_codec_i, rv_fmt_none, NULL, 0, 0, 0 }, + { "mv", rv_codec_i, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "not", rv_codec_i, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "neg", rv_codec_r, rv_fmt_rd_rs2, NULL, 0, 0, 0 }, + { "negw", rv_codec_r, rv_fmt_rd_rs2, NULL, 0, 0, 0 }, + { "sext.w", rv_codec_i, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "seqz", rv_codec_i, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "snez", rv_codec_r, rv_fmt_rd_rs2, NULL, 0, 0, 0 }, + { "sltz", rv_codec_r, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "sgtz", rv_codec_r, rv_fmt_rd_rs2, NULL, 0, 0, 0 }, + { "fmv.s", rv_codec_r, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "fabs.s", rv_codec_r, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "fneg.s", rv_codec_r, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "fmv.d", rv_codec_r, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "fabs.d", rv_codec_r, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "fneg.d", rv_codec_r, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "fmv.q", rv_codec_r, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "fabs.q", rv_codec_r, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "fneg.q", rv_codec_r, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "beqz", rv_codec_sb, rv_fmt_rs1_offset, NULL, 0, 0, 0 }, + { "bnez", rv_codec_sb, rv_fmt_rs1_offset, NULL, 0, 0, 0 }, + { "blez", rv_codec_sb, rv_fmt_rs2_offset, NULL, 0, 0, 0 }, + { "bgez", rv_codec_sb, rv_fmt_rs1_offset, NULL, 0, 0, 0 }, + { "bltz", rv_codec_sb, rv_fmt_rs1_offset, NULL, 0, 0, 0 }, + { "bgtz", rv_codec_sb, rv_fmt_rs2_offset, NULL, 0, 0, 0 }, + { "ble", rv_codec_sb, rv_fmt_rs2_rs1_offset, NULL, 0, 0, 0 }, + { "bleu", rv_codec_sb, rv_fmt_rs2_rs1_offset, NULL, 0, 0, 0 }, + { "bgt", rv_codec_sb, rv_fmt_rs2_rs1_offset, NULL, 0, 0, 0 }, + { "bgtu", rv_codec_sb, rv_fmt_rs2_rs1_offset, NULL, 0, 0, 0 }, + { "j", rv_codec_uj, rv_fmt_offset, NULL, 0, 0, 0 }, + { "ret", rv_codec_i, rv_fmt_none, NULL, 0, 0, 0 }, + { "jr", rv_codec_i, rv_fmt_rs1, NULL, 0, 0, 0 }, + { "rdcycle", rv_codec_i_csr, rv_fmt_rd, NULL, 0, 0, 0 }, + { "rdtime", rv_codec_i_csr, rv_fmt_rd, NULL, 0, 0, 0 }, + { "rdinstret", rv_codec_i_csr, rv_fmt_rd, NULL, 0, 0, 0 }, + { "rdcycleh", rv_codec_i_csr, rv_fmt_rd, NULL, 0, 0, 0 }, + { "rdtimeh", rv_codec_i_csr, rv_fmt_rd, NULL, 0, 0, 0 }, + { "rdinstreth", rv_codec_i_csr, rv_fmt_rd, NULL, 0, 0, 0 }, + { "frcsr", rv_codec_i_csr, rv_fmt_rd, NULL, 0, 0, 0 }, + { "frrm", rv_codec_i_csr, rv_fmt_rd, NULL, 0, 0, 0 }, + { "frflags", rv_codec_i_csr, rv_fmt_rd, NULL, 0, 0, 0 }, + { "fscsr", rv_codec_i_csr, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "fsrm", rv_codec_i_csr, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "fsflags", rv_codec_i_csr, rv_fmt_rd_rs1, NULL, 0, 0, 0 }, + { "fsrmi", rv_codec_i_csr, rv_fmt_rd_zimm, NULL, 0, 0, 0 }, + { "fsflagsi", rv_codec_i_csr, rv_fmt_rd_zimm, NULL, 0, 0, 0 }, +}; + +/* CSR names */ + +static const char *csr_name(int csrno) +{ + switch (csrno) { + case 0x0000: return "ustatus"; + case 0x0001: return "fflags"; + case 0x0002: return "frm"; + case 0x0003: return "fcsr"; + case 0x0004: return "uie"; + case 0x0005: return "utvec"; + case 0x0040: return "uscratch"; + case 0x0041: return "uepc"; + case 0x0042: return "ucause"; + case 0x0043: return "utval"; + case 0x0044: return "uip"; + case 0x0100: return "sstatus"; + case 0x0102: return "sedeleg"; + case 0x0103: return "sideleg"; + case 0x0104: return "sie"; + case 0x0105: return "stvec"; + case 0x0106: return "scounteren"; + case 0x0140: return "sscratch"; + case 0x0141: return "sepc"; + case 0x0142: return "scause"; + case 0x0143: return "stval"; + case 0x0144: return "sip"; + case 0x0180: return "satp"; + case 0x0200: return "hstatus"; + case 0x0202: return "hedeleg"; + case 0x0203: return "hideleg"; + case 0x0204: return "hie"; + case 0x0205: return "htvec"; + case 0x0240: return "hscratch"; + case 0x0241: return "hepc"; + case 0x0242: return "hcause"; + case 0x0243: return "hbadaddr"; + case 0x0244: return "hip"; + case 0x0300: return "mstatus"; + case 0x0301: return "misa"; + case 0x0302: return "medeleg"; + case 0x0303: return "mideleg"; + case 0x0304: return "mie"; + case 0x0305: return "mtvec"; + case 0x0306: return "mcounteren"; + case 0x0320: return "mucounteren"; + case 0x0321: return "mscounteren"; + case 0x0322: return "mhcounteren"; + case 0x0323: return "mhpmevent3"; + case 0x0324: return "mhpmevent4"; + case 0x0325: return "mhpmevent5"; + case 0x0326: return "mhpmevent6"; + case 0x0327: return "mhpmevent7"; + case 0x0328: return "mhpmevent8"; + case 0x0329: return "mhpmevent9"; + case 0x032a: return "mhpmevent10"; + case 0x032b: return "mhpmevent11"; + case 0x032c: return "mhpmevent12"; + case 0x032d: return "mhpmevent13"; + case 0x032e: return "mhpmevent14"; + case 0x032f: return "mhpmevent15"; + case 0x0330: return "mhpmevent16"; + case 0x0331: return "mhpmevent17"; + case 0x0332: return "mhpmevent18"; + case 0x0333: return "mhpmevent19"; + case 0x0334: return "mhpmevent20"; + case 0x0335: return "mhpmevent21"; + case 0x0336: return "mhpmevent22"; + case 0x0337: return "mhpmevent23"; + case 0x0338: return "mhpmevent24"; + case 0x0339: return "mhpmevent25"; + case 0x033a: return "mhpmevent26"; + case 0x033b: return "mhpmevent27"; + case 0x033c: return "mhpmevent28"; + case 0x033d: return "mhpmevent29"; + case 0x033e: return "mhpmevent30"; + case 0x033f: return "mhpmevent31"; + case 0x0340: return "mscratch"; + case 0x0341: return "mepc"; + case 0x0342: return "mcause"; + case 0x0343: return "mtval"; + case 0x0344: return "mip"; + case 0x0380: return "mbase"; + case 0x0381: return "mbound"; + case 0x0382: return "mibase"; + case 0x0383: return "mibound"; + case 0x0384: return "mdbase"; + case 0x0385: return "mdbound"; + case 0x03a0: return "pmpcfg3"; + case 0x03b0: return "pmpaddr0"; + case 0x03b1: return "pmpaddr1"; + case 0x03b2: return "pmpaddr2"; + case 0x03b3: return "pmpaddr3"; + case 0x03b4: return "pmpaddr4"; + case 0x03b5: return "pmpaddr5"; + case 0x03b6: return "pmpaddr6"; + case 0x03b7: return "pmpaddr7"; + case 0x03b8: return "pmpaddr8"; + case 0x03b9: return "pmpaddr9"; + case 0x03ba: return "pmpaddr10"; + case 0x03bb: return "pmpaddr11"; + case 0x03bc: return "pmpaddr12"; + case 0x03bd: return "pmpaddr14"; + case 0x03be: return "pmpaddr13"; + case 0x03bf: return "pmpaddr15"; + case 0x0780: return "mtohost"; + case 0x0781: return "mfromhost"; + case 0x0782: return "mreset"; + case 0x0783: return "mipi"; + case 0x0784: return "miobase"; + case 0x07a0: return "tselect"; + case 0x07a1: return "tdata1"; + case 0x07a2: return "tdata2"; + case 0x07a3: return "tdata3"; + case 0x07b0: return "dcsr"; + case 0x07b1: return "dpc"; + case 0x07b2: return "dscratch"; + case 0x0b00: return "mcycle"; + case 0x0b01: return "mtime"; + case 0x0b02: return "minstret"; + case 0x0b03: return "mhpmcounter3"; + case 0x0b04: return "mhpmcounter4"; + case 0x0b05: return "mhpmcounter5"; + case 0x0b06: return "mhpmcounter6"; + case 0x0b07: return "mhpmcounter7"; + case 0x0b08: return "mhpmcounter8"; + case 0x0b09: return "mhpmcounter9"; + case 0x0b0a: return "mhpmcounter10"; + case 0x0b0b: return "mhpmcounter11"; + case 0x0b0c: return "mhpmcounter12"; + case 0x0b0d: return "mhpmcounter13"; + case 0x0b0e: return "mhpmcounter14"; + case 0x0b0f: return "mhpmcounter15"; + case 0x0b10: return "mhpmcounter16"; + case 0x0b11: return "mhpmcounter17"; + case 0x0b12: return "mhpmcounter18"; + case 0x0b13: return "mhpmcounter19"; + case 0x0b14: return "mhpmcounter20"; + case 0x0b15: return "mhpmcounter21"; + case 0x0b16: return "mhpmcounter22"; + case 0x0b17: return "mhpmcounter23"; + case 0x0b18: return "mhpmcounter24"; + case 0x0b19: return "mhpmcounter25"; + case 0x0b1a: return "mhpmcounter26"; + case 0x0b1b: return "mhpmcounter27"; + case 0x0b1c: return "mhpmcounter28"; + case 0x0b1d: return "mhpmcounter29"; + case 0x0b1e: return "mhpmcounter30"; + case 0x0b1f: return "mhpmcounter31"; + case 0x0b80: return "mcycleh"; + case 0x0b81: return "mtimeh"; + case 0x0b82: return "minstreth"; + case 0x0b83: return "mhpmcounter3h"; + case 0x0b84: return "mhpmcounter4h"; + case 0x0b85: return "mhpmcounter5h"; + case 0x0b86: return "mhpmcounter6h"; + case 0x0b87: return "mhpmcounter7h"; + case 0x0b88: return "mhpmcounter8h"; + case 0x0b89: return "mhpmcounter9h"; + case 0x0b8a: return "mhpmcounter10h"; + case 0x0b8b: return "mhpmcounter11h"; + case 0x0b8c: return "mhpmcounter12h"; + case 0x0b8d: return "mhpmcounter13h"; + case 0x0b8e: return "mhpmcounter14h"; + case 0x0b8f: return "mhpmcounter15h"; + case 0x0b90: return "mhpmcounter16h"; + case 0x0b91: return "mhpmcounter17h"; + case 0x0b92: return "mhpmcounter18h"; + case 0x0b93: return "mhpmcounter19h"; + case 0x0b94: return "mhpmcounter20h"; + case 0x0b95: return "mhpmcounter21h"; + case 0x0b96: return "mhpmcounter22h"; + case 0x0b97: return "mhpmcounter23h"; + case 0x0b98: return "mhpmcounter24h"; + case 0x0b99: return "mhpmcounter25h"; + case 0x0b9a: return "mhpmcounter26h"; + case 0x0b9b: return "mhpmcounter27h"; + case 0x0b9c: return "mhpmcounter28h"; + case 0x0b9d: return "mhpmcounter29h"; + case 0x0b9e: return "mhpmcounter30h"; + case 0x0b9f: return "mhpmcounter31h"; + case 0x0c00: return "cycle"; + case 0x0c01: return "time"; + case 0x0c02: return "instret"; + case 0x0c80: return "cycleh"; + case 0x0c81: return "timeh"; + case 0x0c82: return "instreth"; + case 0x0d00: return "scycle"; + case 0x0d01: return "stime"; + case 0x0d02: return "sinstret"; + case 0x0d80: return "scycleh"; + case 0x0d81: return "stimeh"; + case 0x0d82: return "sinstreth"; + case 0x0e00: return "hcycle"; + case 0x0e01: return "htime"; + case 0x0e02: return "hinstret"; + case 0x0e80: return "hcycleh"; + case 0x0e81: return "htimeh"; + case 0x0e82: return "hinstreth"; + case 0x0f11: return "mvendorid"; + case 0x0f12: return "marchid"; + case 0x0f13: return "mimpid"; + case 0x0f14: return "mhartid"; + default: return NULL; + } +} + +/* decode opcode */ + +static void decode_inst_opcode(rv_decode *dec, rv_isa isa) +{ + rv_inst inst =3D dec->inst; + rv_opcode op =3D rv_op_illegal; + switch (((inst >> 0) & 0b11)) { + case 0: + switch (((inst >> 13) & 0b111)) { + case 0: op =3D rv_op_c_addi4spn; break; + case 1: + if (isa =3D=3D rv128) { + op =3D rv_op_c_lq; + } else { + op =3D rv_op_c_fld; + } + break; + case 2: op =3D rv_op_c_lw; break; + case 3: + if (isa =3D=3D rv32) { + op =3D rv_op_c_flw; + } else { + op =3D rv_op_c_ld; + } + break; + case 5: + if (isa =3D=3D rv128) { + op =3D rv_op_c_sq; + } else { + op =3D rv_op_c_fsd; + } + break; + case 6: op =3D rv_op_c_sw; break; + case 7: + if (isa =3D=3D rv32) { + op =3D rv_op_c_fsw; + } else { + op =3D rv_op_c_sd; + } + break; + } + break; + case 1: + switch (((inst >> 13) & 0b111)) { + case 0: + switch (((inst >> 2) & 0b11111111111)) { + case 0: op =3D rv_op_c_nop; break; + default: op =3D rv_op_c_addi; break; + } + break; + case 1: + if (isa =3D=3D rv32) { + op =3D rv_op_c_jal; + } else { + op =3D rv_op_c_addiw; + } + break; + case 2: op =3D rv_op_c_li; break; + case 3: + switch (((inst >> 7) & 0b11111)) { + case 2: op =3D rv_op_c_addi16sp; break; + default: op =3D rv_op_c_lui; break; + } + break; + case 4: + switch (((inst >> 10) & 0b11)) { + case 0: + op =3D rv_op_c_srli; + break; + case 1: + op =3D rv_op_c_srai; + break; + case 2: op =3D rv_op_c_andi; break; + case 3: + switch (((inst >> 10) & 0b100) | ((inst >> 5) & 0b011)) { + case 0: op =3D rv_op_c_sub; break; + case 1: op =3D rv_op_c_xor; break; + case 2: op =3D rv_op_c_or; break; + case 3: op =3D rv_op_c_and; break; + case 4: op =3D rv_op_c_subw; break; + case 5: op =3D rv_op_c_addw; break; + } + break; + } + break; + case 5: op =3D rv_op_c_j; break; + case 6: op =3D rv_op_c_beqz; break; + case 7: op =3D rv_op_c_bnez; break; + } + break; + case 2: + switch (((inst >> 13) & 0b111)) { + case 0: + op =3D rv_op_c_slli; + break; + case 1: + if (isa =3D=3D rv128) { + op =3D rv_op_c_lqsp; + } else { + op =3D rv_op_c_fldsp; + } + break; + case 2: op =3D rv_op_c_lwsp; break; + case 3: + if (isa =3D=3D rv32) { + op =3D rv_op_c_flwsp; + } else { + op =3D rv_op_c_ldsp; + } + break; + case 4: + switch (((inst >> 12) & 0b1)) { + case 0: + switch (((inst >> 2) & 0b11111)) { + case 0: op =3D rv_op_c_jr; break; + default: op =3D rv_op_c_mv; break; + } + break; + case 1: + switch (((inst >> 2) & 0b11111)) { + case 0: + switch (((inst >> 7) & 0b11111)) { + case 0: op =3D rv_op_c_ebreak; break; + default: op =3D rv_op_c_jalr; break; + } + break; + default: op =3D rv_op_c_add; break; + } + break; + } + break; + case 5: + if (isa =3D=3D rv128) { + op =3D rv_op_c_sqsp; + } else { + op =3D rv_op_c_fsdsp; break; + } + case 6: op =3D rv_op_c_swsp; break; + case 7: + if (isa =3D=3D rv32) { + op =3D rv_op_c_fswsp; + } else { + op =3D rv_op_c_sdsp; + } + break; + } + break; + case 3: + switch (((inst >> 2) & 0b11111)) { + case 0: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_lb; break; + case 1: op =3D rv_op_lh; break; + case 2: op =3D rv_op_lw; break; + case 3: op =3D rv_op_ld; break; + case 4: op =3D rv_op_lbu; break; + case 5: op =3D rv_op_lhu; break; + case 6: op =3D rv_op_lwu; break; + case 7: op =3D rv_op_ldu; break; + } + break; + case 1: + switch (((inst >> 12) & 0b111)) { + case 2: op =3D rv_op_flw; break; + case 3: op =3D rv_op_fld; break; + case 4: op =3D rv_op_flq; break; + } + break; + case 3: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_fence; break; + case 1: op =3D rv_op_fence_i; break; + case 2: op =3D rv_op_lq; break; + } + break; + case 4: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_addi; break; + case 1: + switch (((inst >> 27) & 0b11111)) { + case 0: op =3D rv_op_slli; break; + } + break; + case 2: op =3D rv_op_slti; break; + case 3: op =3D rv_op_sltiu; break; + case 4: op =3D rv_op_xori; break; + case 5: + switch (((inst >> 27) & 0b11111)) { + case 0: op =3D rv_op_srli; break; + case 8: op =3D rv_op_srai; break; + } + break; + case 6: op =3D rv_op_ori; break; + case 7: op =3D rv_op_andi; break; + } + break; + case 5: op =3D rv_op_auipc; break; + case 6: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_addiw; break; + case 1: + switch (((inst >> 25) & 0b1111111)) { + case 0: op =3D rv_op_slliw; break; + } + break; + case 5: + switch (((inst >> 25) & 0b1111111)) { + case 0: op =3D rv_op_srliw; break; + case 32: op =3D rv_op_sraiw; break; + } + break; + } + break; + case 8: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_sb; break; + case 1: op =3D rv_op_sh; break; + case 2: op =3D rv_op_sw; break; + case 3: op =3D rv_op_sd; break; + case 4: op =3D rv_op_sq; break; + } + break; + case 9: + switch (((inst >> 12) & 0b111)) { + case 2: op =3D rv_op_fsw; break; + case 3: op =3D rv_op_fsd; break; + case 4: op =3D rv_op_fsq; break; + } + break; + case 11: + switch (((inst >> 24) & 0b11111000) | ((inst >> 12) & 0b000001= 11)) { + case 2: op =3D rv_op_amoadd_w; break; + case 3: op =3D rv_op_amoadd_d; break; + case 4: op =3D rv_op_amoadd_q; break; + case 10: op =3D rv_op_amoswap_w; break; + case 11: op =3D rv_op_amoswap_d; break; + case 12: op =3D rv_op_amoswap_q; break; + case 18: + switch (((inst >> 20) & 0b11111)) { + case 0: op =3D rv_op_lr_w; break; + } + break; + case 19: + switch (((inst >> 20) & 0b11111)) { + case 0: op =3D rv_op_lr_d; break; + } + break; + case 20: + switch (((inst >> 20) & 0b11111)) { + case 0: op =3D rv_op_lr_q; break; + } + break; + case 26: op =3D rv_op_sc_w; break; + case 27: op =3D rv_op_sc_d; break; + case 28: op =3D rv_op_sc_q; break; + case 34: op =3D rv_op_amoxor_w; break; + case 35: op =3D rv_op_amoxor_d; break; + case 36: op =3D rv_op_amoxor_q; break; + case 66: op =3D rv_op_amoor_w; break; + case 67: op =3D rv_op_amoor_d; break; + case 68: op =3D rv_op_amoor_q; break; + case 98: op =3D rv_op_amoand_w; break; + case 99: op =3D rv_op_amoand_d; break; + case 100: op =3D rv_op_amoand_q; break; + case 130: op =3D rv_op_amomin_w; break; + case 131: op =3D rv_op_amomin_d; break; + case 132: op =3D rv_op_amomin_q; break; + case 162: op =3D rv_op_amomax_w; break; + case 163: op =3D rv_op_amomax_d; break; + case 164: op =3D rv_op_amomax_q; break; + case 194: op =3D rv_op_amominu_w; break; + case 195: op =3D rv_op_amominu_d; break; + case 196: op =3D rv_op_amominu_q; break; + case 226: op =3D rv_op_amomaxu_w; break; + case 227: op =3D rv_op_amomaxu_d; break; + case 228: op =3D rv_op_amomaxu_q; break; + } + break; + case 12: + switch (((inst >> 22) & 0b1111111000) | ((inst >> 12) & 0b0000= 000111)) { + case 0: op =3D rv_op_add; break; + case 1: op =3D rv_op_sll; break; + case 2: op =3D rv_op_slt; break; + case 3: op =3D rv_op_sltu; break; + case 4: op =3D rv_op_xor; break; + case 5: op =3D rv_op_srl; break; + case 6: op =3D rv_op_or; break; + case 7: op =3D rv_op_and; break; + case 8: op =3D rv_op_mul; break; + case 9: op =3D rv_op_mulh; break; + case 10: op =3D rv_op_mulhsu; break; + case 11: op =3D rv_op_mulhu; break; + case 12: op =3D rv_op_div; break; + case 13: op =3D rv_op_divu; break; + case 14: op =3D rv_op_rem; break; + case 15: op =3D rv_op_remu; break; + case 256: op =3D rv_op_sub; break; + case 261: op =3D rv_op_sra; break; + } + break; + case 13: op =3D rv_op_lui; break; + case 14: + switch (((inst >> 22) & 0b1111111000) | ((inst >> 12) & 0b0000= 000111)) { + case 0: op =3D rv_op_addw; break; + case 1: op =3D rv_op_sllw; break; + case 5: op =3D rv_op_srlw; break; + case 8: op =3D rv_op_mulw; break; + case 12: op =3D rv_op_divw; break; + case 13: op =3D rv_op_divuw; break; + case 14: op =3D rv_op_remw; break; + case 15: op =3D rv_op_remuw; break; + case 256: op =3D rv_op_subw; break; + case 261: op =3D rv_op_sraw; break; + } + break; + case 16: + switch (((inst >> 25) & 0b11)) { + case 0: op =3D rv_op_fmadd_s; break; + case 1: op =3D rv_op_fmadd_d; break; + case 3: op =3D rv_op_fmadd_q; break; + } + break; + case 17: + switch (((inst >> 25) & 0b11)) { + case 0: op =3D rv_op_fmsub_s; break; + case 1: op =3D rv_op_fmsub_d; break; + case 3: op =3D rv_op_fmsub_q; break; + } + break; + case 18: + switch (((inst >> 25) & 0b11)) { + case 0: op =3D rv_op_fnmsub_s; break; + case 1: op =3D rv_op_fnmsub_d; break; + case 3: op =3D rv_op_fnmsub_q; break; + } + break; + case 19: + switch (((inst >> 25) & 0b11)) { + case 0: op =3D rv_op_fnmadd_s; break; + case 1: op =3D rv_op_fnmadd_d; break; + case 3: op =3D rv_op_fnmadd_q; break; + } + break; + case 20: + switch (((inst >> 25) & 0b1111111)) { + case 0: op =3D rv_op_fadd_s; break; + case 1: op =3D rv_op_fadd_d; break; + case 3: op =3D rv_op_fadd_q; break; + case 4: op =3D rv_op_fsub_s; break; + case 5: op =3D rv_op_fsub_d; break; + case 7: op =3D rv_op_fsub_q; break; + case 8: op =3D rv_op_fmul_s; break; + case 9: op =3D rv_op_fmul_d; break; + case 11: op =3D rv_op_fmul_q; break; + case 12: op =3D rv_op_fdiv_s; break; + case 13: op =3D rv_op_fdiv_d; break; + case 15: op =3D rv_op_fdiv_q; break; + case 16: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_fsgnj_s; break; + case 1: op =3D rv_op_fsgnjn_s; break; + case 2: op =3D rv_op_fsgnjx_s; break; + } + break; + case 17: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_fsgnj_d; break; + case 1: op =3D rv_op_fsgnjn_d; break; + case 2: op =3D rv_op_fsgnjx_d; break; + } + break; + case 19: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_fsgnj_q; break; + case 1: op =3D rv_op_fsgnjn_q; break; + case 2: op =3D rv_op_fsgnjx_q; break; + } + break; + case 20: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_fmin_s; break; + case 1: op =3D rv_op_fmax_s; break; + } + break; + case 21: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_fmin_d; break; + case 1: op =3D rv_op_fmax_d; break; + } + break; + case 23: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_fmin_q; break; + case 1: op =3D rv_op_fmax_q; break; + } + break; + case 32: + switch (((inst >> 20) & 0b11111)) { + case 1: op =3D rv_op_fcvt_s_d; break; + case 3: op =3D rv_op_fcvt_s_q; break; + } + break; + case 33: + switch (((inst >> 20) & 0b11111)) { + case 0: op =3D rv_op_fcvt_d_s; break; + case 3: op =3D rv_op_fcvt_d_q; break; + } + break; + case 35: + switch (((inst >> 20) & 0b11111)) { + case 0: op =3D rv_op_fcvt_q_s; break; + case 1: op =3D rv_op_fcvt_q_d; break; + } + break; + case 44: + switch (((inst >> 20) & 0b11111)) { + case 0: op =3D rv_op_fsqrt_s; break; + } + break; + case 45: + switch (((inst >> 20) & 0b11111)) { + case 0: op =3D rv_op_fsqrt_d; break; + } + break; + case 47: + switch (((inst >> 20) & 0b11111)) { + case 0: op =3D rv_op_fsqrt_q; break; + } + break; + case 80: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_fle_s; break; + case 1: op =3D rv_op_flt_s; break; + case 2: op =3D rv_op_feq_s; break; + } + break; + case 81: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_fle_d; break; + case 1: op =3D rv_op_flt_d; break; + case 2: op =3D rv_op_feq_d; break; + } + break; + case 83: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_fle_q; break; + case 1: op =3D rv_op_flt_q; break; + case 2: op =3D rv_op_feq_q; break; + } + break; + case 96: + switch (((inst >> 20) & 0b11111)) { + case 0: op =3D rv_op_fcvt_w_s; break; + case 1: op =3D rv_op_fcvt_wu_s; break; + case 2: op =3D rv_op_fcvt_l_s; break; + case 3: op =3D rv_op_fcvt_lu_s; break; + } + break; + case 97: + switch (((inst >> 20) & 0b11111)) { + case 0: op =3D rv_op_fcvt_w_d; break; + case 1: op =3D rv_op_fcvt_wu_d; break; + case 2: op =3D rv_op_fcvt_l_d; break; + case 3: op =3D rv_op_fcvt_lu_d; break; + } + break; + case 99: + switch (((inst >> 20) & 0b11111)) { + case 0: op =3D rv_op_fcvt_w_q; break; + case 1: op =3D rv_op_fcvt_wu_q; break; + case 2: op =3D rv_op_fcvt_l_q; break; + case 3: op =3D rv_op_fcvt_lu_q; break; + } + break; + case 104: + switch (((inst >> 20) & 0b11111)) { + case 0: op =3D rv_op_fcvt_s_w; break; + case 1: op =3D rv_op_fcvt_s_wu; break; + case 2: op =3D rv_op_fcvt_s_l; break; + case 3: op =3D rv_op_fcvt_s_lu; break; + } + break; + case 105: + switch (((inst >> 20) & 0b11111)) { + case 0: op =3D rv_op_fcvt_d_w; break; + case 1: op =3D rv_op_fcvt_d_wu; break; + case 2: op =3D rv_op_fcvt_d_l; break; + case 3: op =3D rv_op_fcvt_d_lu; break; + } + break; + case 107: + switch (((inst >> 20) & 0b11111)) { + case 0: op =3D rv_op_fcvt_q_w; break; + case 1: op =3D rv_op_fcvt_q_wu; break; + case 2: op =3D rv_op_fcvt_q_l; break; + case 3: op =3D rv_op_fcvt_q_lu; break; + } + break; + case 112: + switch (((inst >> 17) & 0b11111000) | ((inst >> 12) & 0b00= 000111)) { + case 0: op =3D rv_op_fmv_x_s; break; + case 1: op =3D rv_op_fclass_s; break; + } + break; + case 113: + switch (((inst >> 17) & 0b11111000) | ((inst >> 12) & 0b00= 000111)) { + case 0: op =3D rv_op_fmv_x_d; break; + case 1: op =3D rv_op_fclass_d; break; + } + break; + case 115: + switch (((inst >> 17) & 0b11111000) | ((inst >> 12) & 0b00= 000111)) { + case 0: op =3D rv_op_fmv_x_q; break; + case 1: op =3D rv_op_fclass_q; break; + } + break; + case 120: + switch (((inst >> 17) & 0b11111000) | ((inst >> 12) & 0b00= 000111)) { + case 0: op =3D rv_op_fmv_s_x; break; + } + break; + case 121: + switch (((inst >> 17) & 0b11111000) | ((inst >> 12) & 0b00= 000111)) { + case 0: op =3D rv_op_fmv_d_x; break; + } + break; + case 123: + switch (((inst >> 17) & 0b11111000) | ((inst >> 12) & 0b00= 000111)) { + case 0: op =3D rv_op_fmv_q_x; break; + } + break; + } + break; + case 22: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_addid; break; + case 1: + switch (((inst >> 26) & 0b111111)) { + case 0: op =3D rv_op_sllid; break; + } + break; + case 5: + switch (((inst >> 26) & 0b111111)) { + case 0: op =3D rv_op_srlid; break; + case 16: op =3D rv_op_sraid; break; + } + break; + } + break; + case 24: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_beq; break; + case 1: op =3D rv_op_bne; break; + case 4: op =3D rv_op_blt; break; + case 5: op =3D rv_op_bge; break; + case 6: op =3D rv_op_bltu; break; + case 7: op =3D rv_op_bgeu; break; + } + break; + case 25: + switch (((inst >> 12) & 0b111)) { + case 0: op =3D rv_op_jalr; break; + } + break; + case 27: op =3D rv_op_jal; break; + case 28: + switch (((inst >> 12) & 0b111)) { + case 0: + switch (((inst >> 20) & 0b111111100000) | ((inst >> 7) & 0= b000000011111)) { + case 0: + switch (((inst >> 15) & 0b1111111111)) { + case 0: op =3D rv_op_ecall; break; + case 32: op =3D rv_op_ebreak; break; + case 64: op =3D rv_op_uret; break; + } + break; + case 256: + switch (((inst >> 20) & 0b11111)) { + case 2: + switch (((inst >> 15) & 0b11111)) { + case 0: op =3D rv_op_sret; break; + } + break; + case 4: op =3D rv_op_sfence_vm; break; + case 5: + switch (((inst >> 15) & 0b11111)) { + case 0: op =3D rv_op_wfi; break; + } + break; + } + break; + case 288: op =3D rv_op_sfence_vma; break; + case 512: + switch (((inst >> 15) & 0b1111111111)) { + case 64: op =3D rv_op_hret; break; + } + break; + case 768: + switch (((inst >> 15) & 0b1111111111)) { + case 64: op =3D rv_op_mret; break; + } + break; + case 1952: + switch (((inst >> 15) & 0b1111111111)) { + case 576: op =3D rv_op_dret; break; + } + break; + } + break; + case 1: op =3D rv_op_csrrw; break; + case 2: op =3D rv_op_csrrs; break; + case 3: op =3D rv_op_csrrc; break; + case 5: op =3D rv_op_csrrwi; break; + case 6: op =3D rv_op_csrrsi; break; + case 7: op =3D rv_op_csrrci; break; + } + break; + case 30: + switch (((inst >> 22) & 0b1111111000) | ((inst >> 12) & 0b0000= 000111)) { + case 0: op =3D rv_op_addd; break; + case 1: op =3D rv_op_slld; break; + case 5: op =3D rv_op_srld; break; + case 8: op =3D rv_op_muld; break; + case 12: op =3D rv_op_divd; break; + case 13: op =3D rv_op_divud; break; + case 14: op =3D rv_op_remd; break; + case 15: op =3D rv_op_remud; break; + case 256: op =3D rv_op_subd; break; + case 261: op =3D rv_op_srad; break; + } + break; + } + break; + } + dec->op =3D op; +} + +/* operand extractors */ + +static uint32_t operand_rd(rv_inst inst) +{ + return (inst << 52) >> 59; +} + +static uint32_t operand_rs1(rv_inst inst) +{ + return (inst << 44) >> 59; +} + +static uint32_t operand_rs2(rv_inst inst) +{ + return (inst << 39) >> 59; +} + +static uint32_t operand_rs3(rv_inst inst) +{ + return (inst << 32) >> 59; +} + +static uint32_t operand_aq(rv_inst inst) +{ + return (inst << 37) >> 63; +} + +static uint32_t operand_rl(rv_inst inst) +{ + return (inst << 38) >> 63; +} + +static uint32_t operand_pred(rv_inst inst) +{ + return (inst << 36) >> 60; +} + +static uint32_t operand_succ(rv_inst inst) +{ + return (inst << 40) >> 60; +} + +static uint32_t operand_rm(rv_inst inst) +{ + return (inst << 49) >> 61; +} + +static uint32_t operand_shamt5(rv_inst inst) +{ + return (inst << 39) >> 59; +} + +static uint32_t operand_shamt6(rv_inst inst) +{ + return (inst << 38) >> 58; +} + +static uint32_t operand_shamt7(rv_inst inst) +{ + return (inst << 37) >> 57; +} + +static uint32_t operand_crdq(rv_inst inst) +{ + return (inst << 59) >> 61; +} + +static uint32_t operand_crs1q(rv_inst inst) +{ + return (inst << 54) >> 61; +} + +static uint32_t operand_crs1rdq(rv_inst inst) +{ + return (inst << 54) >> 61; +} + +static uint32_t operand_crs2q(rv_inst inst) +{ + return (inst << 59) >> 61; +} + +static uint32_t operand_crd(rv_inst inst) +{ + return (inst << 52) >> 59; +} + +static uint32_t operand_crs1(rv_inst inst) +{ + return (inst << 52) >> 59; +} + +static uint32_t operand_crs1rd(rv_inst inst) +{ + return (inst << 52) >> 59; +} + +static uint32_t operand_crs2(rv_inst inst) +{ + return (inst << 57) >> 59; +} + +static uint32_t operand_cimmsh5(rv_inst inst) +{ + return (inst << 57) >> 59; +} + +static uint32_t operand_csr12(rv_inst inst) +{ + return (inst << 32) >> 52; +} + +static int32_t operand_imm12(rv_inst inst) +{ + return ((int64_t)inst << 32) >> 52; +} + +static int32_t operand_imm20(rv_inst inst) +{ + return (((int64_t)inst << 32) >> 44) << 12; +} + +static int32_t operand_jimm20(rv_inst inst) +{ + return (((int64_t)inst << 32) >> 63) << 20 | + ((inst << 33) >> 54) << 1 | + ((inst << 43) >> 63) << 11 | + ((inst << 44) >> 56) << 12; +} + +static int32_t operand_simm12(rv_inst inst) +{ + return (((int64_t)inst << 32) >> 57) << 5 | + (inst << 52) >> 59; +} + +static int32_t operand_sbimm12(rv_inst inst) +{ + return (((int64_t)inst << 32) >> 63) << 12 | + ((inst << 33) >> 58) << 5 | + ((inst << 52) >> 60) << 1 | + ((inst << 56) >> 63) << 11; +} + +static uint32_t operand_cimmsh6(rv_inst inst) +{ + return ((inst << 51) >> 63) << 5 | + (inst << 57) >> 59; +} + +static int32_t operand_cimmi(rv_inst inst) +{ + return (((int64_t)inst << 51) >> 63) << 5 | + (inst << 57) >> 59; +} + +static int32_t operand_cimmui(rv_inst inst) +{ + return (((int64_t)inst << 51) >> 63) << 17 | + ((inst << 57) >> 59) << 12; +} + +static uint32_t operand_cimmlwsp(rv_inst inst) +{ + return ((inst << 51) >> 63) << 5 | + ((inst << 57) >> 61) << 2 | + ((inst << 60) >> 62) << 6; +} + +static uint32_t operand_cimmldsp(rv_inst inst) +{ + return ((inst << 51) >> 63) << 5 | + ((inst << 57) >> 62) << 3 | + ((inst << 59) >> 61) << 6; +} + +static uint32_t operand_cimmlqsp(rv_inst inst) +{ + return ((inst << 51) >> 63) << 5 | + ((inst << 57) >> 63) << 4 | + ((inst << 58) >> 60) << 6; +} + +static int32_t operand_cimm16sp(rv_inst inst) +{ + return (((int64_t)inst << 51) >> 63) << 9 | + ((inst << 57) >> 63) << 4 | + ((inst << 58) >> 63) << 6 | + ((inst << 59) >> 62) << 7 | + ((inst << 61) >> 63) << 5; +} + +static int32_t operand_cimmj(rv_inst inst) +{ + return (((int64_t)inst << 51) >> 63) << 11 | + ((inst << 52) >> 63) << 4 | + ((inst << 53) >> 62) << 8 | + ((inst << 55) >> 63) << 10 | + ((inst << 56) >> 63) << 6 | + ((inst << 57) >> 63) << 7 | + ((inst << 58) >> 61) << 1 | + ((inst << 61) >> 63) << 5; +} + +static int32_t operand_cimmb(rv_inst inst) +{ + return (((int64_t)inst << 51) >> 63) << 8 | + ((inst << 52) >> 62) << 3 | + ((inst << 57) >> 62) << 6 | + ((inst << 59) >> 62) << 1 | + ((inst << 61) >> 63) << 5; +} + +static uint32_t operand_cimmswsp(rv_inst inst) +{ + return ((inst << 51) >> 60) << 2 | + ((inst << 55) >> 62) << 6; +} + +static uint32_t operand_cimmsdsp(rv_inst inst) +{ + return ((inst << 51) >> 61) << 3 | + ((inst << 54) >> 61) << 6; +} + +static uint32_t operand_cimmsqsp(rv_inst inst) +{ + return ((inst << 51) >> 62) << 4 | + ((inst << 53) >> 60) << 6; +} + +static uint32_t operand_cimm4spn(rv_inst inst) +{ + return ((inst << 51) >> 62) << 4 | + ((inst << 53) >> 60) << 6 | + ((inst << 57) >> 63) << 2 | + ((inst << 58) >> 63) << 3; +} + +static uint32_t operand_cimmw(rv_inst inst) +{ + return ((inst << 51) >> 61) << 3 | + ((inst << 57) >> 63) << 2 | + ((inst << 58) >> 63) << 6; +} + +static uint32_t operand_cimmd(rv_inst inst) +{ + return ((inst << 51) >> 61) << 3 | + ((inst << 57) >> 62) << 6; +} + +static uint32_t operand_cimmq(rv_inst inst) +{ + return ((inst << 51) >> 62) << 4 | + ((inst << 53) >> 63) << 8 | + ((inst << 57) >> 62) << 6; +} + +/* decode operands */ + +static void decode_inst_operands(rv_decode *dec) +{ + rv_inst inst =3D dec->inst; + dec->codec =3D opcode_data[dec->op].codec; + switch (dec->codec) { + case rv_codec_none: + dec->rd =3D dec->rs1 =3D dec->rs2 =3D rv_ireg_zero; + dec->imm =3D 0; + break; + case rv_codec_u: + dec->rd =3D operand_rd(inst); + dec->rs1 =3D dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_imm20(inst); + break; + case rv_codec_uj: + dec->rd =3D operand_rd(inst); + dec->rs1 =3D dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_jimm20(inst); + break; + case rv_codec_i: + dec->rd =3D operand_rd(inst); + dec->rs1 =3D operand_rs1(inst); + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_imm12(inst); + break; + case rv_codec_i_sh5: + dec->rd =3D operand_rd(inst); + dec->rs1 =3D operand_rs1(inst); + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_shamt5(inst); + break; + case rv_codec_i_sh6: + dec->rd =3D operand_rd(inst); + dec->rs1 =3D operand_rs1(inst); + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_shamt6(inst); + break; + case rv_codec_i_sh7: + dec->rd =3D operand_rd(inst); + dec->rs1 =3D operand_rs1(inst); + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_shamt7(inst); + break; + case rv_codec_i_csr: + dec->rd =3D operand_rd(inst); + dec->rs1 =3D operand_rs1(inst); + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_csr12(inst); + break; + case rv_codec_s: + dec->rd =3D rv_ireg_zero; + dec->rs1 =3D operand_rs1(inst); + dec->rs2 =3D operand_rs2(inst); + dec->imm =3D operand_simm12(inst); + break; + case rv_codec_sb: + dec->rd =3D rv_ireg_zero; + dec->rs1 =3D operand_rs1(inst); + dec->rs2 =3D operand_rs2(inst); + dec->imm =3D operand_sbimm12(inst); + break; + case rv_codec_r: + dec->rd =3D operand_rd(inst); + dec->rs1 =3D operand_rs1(inst); + dec->rs2 =3D operand_rs2(inst); + dec->imm =3D 0; + break; + case rv_codec_r_m: + dec->rd =3D operand_rd(inst); + dec->rs1 =3D operand_rs1(inst); + dec->rs2 =3D operand_rs2(inst); + dec->imm =3D 0; + dec->rm =3D operand_rm(inst); + break; + case rv_codec_r4_m: + dec->rd =3D operand_rd(inst); + dec->rs1 =3D operand_rs1(inst); + dec->rs2 =3D operand_rs2(inst); + dec->rs3 =3D operand_rs3(inst); + dec->imm =3D 0; + dec->rm =3D operand_rm(inst); + break; + case rv_codec_r_a: + dec->rd =3D operand_rd(inst); + dec->rs1 =3D operand_rs1(inst); + dec->rs2 =3D operand_rs2(inst); + dec->imm =3D 0; + dec->aq =3D operand_aq(inst); + dec->rl =3D operand_rl(inst); + break; + case rv_codec_r_l: + dec->rd =3D operand_rd(inst); + dec->rs1 =3D operand_rs1(inst); + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D 0; + dec->aq =3D operand_aq(inst); + dec->rl =3D operand_rl(inst); + break; + case rv_codec_r_f: + dec->rd =3D dec->rs1 =3D dec->rs2 =3D rv_ireg_zero; + dec->pred =3D operand_pred(inst); + dec->succ =3D operand_succ(inst); + dec->imm =3D 0; + break; + case rv_codec_cb: + dec->rd =3D rv_ireg_zero; + dec->rs1 =3D operand_crs1q(inst) + 8; + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmb(inst); + break; + case rv_codec_cb_imm: + dec->rd =3D dec->rs1 =3D operand_crs1rdq(inst) + 8; + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmi(inst); + break; + case rv_codec_cb_sh5: + dec->rd =3D dec->rs1 =3D operand_crs1rdq(inst) + 8; + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmsh5(inst); + break; + case rv_codec_cb_sh6: + dec->rd =3D dec->rs1 =3D operand_crs1rdq(inst) + 8; + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmsh6(inst); + break; + case rv_codec_ci: + dec->rd =3D dec->rs1 =3D operand_crs1rd(inst); + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmi(inst); + break; + case rv_codec_ci_sh5: + dec->rd =3D dec->rs1 =3D operand_crs1rd(inst); + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmsh5(inst); + break; + case rv_codec_ci_sh6: + dec->rd =3D dec->rs1 =3D operand_crs1rd(inst); + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmsh6(inst); + break; + case rv_codec_ci_16sp: + dec->rd =3D rv_ireg_sp; + dec->rs1 =3D rv_ireg_sp; + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimm16sp(inst); + break; + case rv_codec_ci_lwsp: + dec->rd =3D operand_crd(inst); + dec->rs1 =3D rv_ireg_sp; + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmlwsp(inst); + break; + case rv_codec_ci_ldsp: + dec->rd =3D operand_crd(inst); + dec->rs1 =3D rv_ireg_sp; + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmldsp(inst); + break; + case rv_codec_ci_lqsp: + dec->rd =3D operand_crd(inst); + dec->rs1 =3D rv_ireg_sp; + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmlqsp(inst); + break; + case rv_codec_ci_li: + dec->rd =3D operand_crd(inst); + dec->rs1 =3D rv_ireg_zero; + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmi(inst); + break; + case rv_codec_ci_lui: + dec->rd =3D operand_crd(inst); + dec->rs1 =3D rv_ireg_zero; + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmui(inst); + break; + case rv_codec_ci_none: + dec->rd =3D dec->rs1 =3D dec->rs2 =3D rv_ireg_zero; + dec->imm =3D 0; + break; + case rv_codec_ciw_4spn: + dec->rd =3D operand_crdq(inst) + 8; + dec->rs1 =3D rv_ireg_sp; + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimm4spn(inst); + break; + case rv_codec_cj: + dec->rd =3D dec->rs1 =3D dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmj(inst); + break; + case rv_codec_cj_jal: + dec->rd =3D rv_ireg_ra; + dec->rs1 =3D dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmj(inst); + break; + case rv_codec_cl_lw: + dec->rd =3D operand_crdq(inst) + 8; + dec->rs1 =3D operand_crs1q(inst) + 8; + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmw(inst); + break; + case rv_codec_cl_ld: + dec->rd =3D operand_crdq(inst) + 8; + dec->rs1 =3D operand_crs1q(inst) + 8; + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmd(inst); + break; + case rv_codec_cl_lq: + dec->rd =3D operand_crdq(inst) + 8; + dec->rs1 =3D operand_crs1q(inst) + 8; + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D operand_cimmq(inst); + break; + case rv_codec_cr: + dec->rd =3D dec->rs1 =3D operand_crs1rd(inst); + dec->rs2 =3D operand_crs2(inst); + dec->imm =3D 0; + break; + case rv_codec_cr_mv: + dec->rd =3D operand_crd(inst); + dec->rs1 =3D operand_crs2(inst); + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D 0; + break; + case rv_codec_cr_jalr: + dec->rd =3D rv_ireg_ra; + dec->rs1 =3D operand_crs1(inst); + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D 0; + break; + case rv_codec_cr_jr: + dec->rd =3D rv_ireg_zero; + dec->rs1 =3D operand_crs1(inst); + dec->rs2 =3D rv_ireg_zero; + dec->imm =3D 0; + break; + case rv_codec_cs: + dec->rd =3D dec->rs1 =3D operand_crs1rdq(inst) + 8; + dec->rs2 =3D operand_crs2q(inst) + 8; + dec->imm =3D 0; + break; + case rv_codec_cs_sw: + dec->rd =3D rv_ireg_zero; + dec->rs1 =3D operand_crs1q(inst) + 8; + dec->rs2 =3D operand_crs2q(inst) + 8; + dec->imm =3D operand_cimmw(inst); + break; + case rv_codec_cs_sd: + dec->rd =3D rv_ireg_zero; + dec->rs1 =3D operand_crs1q(inst) + 8; + dec->rs2 =3D operand_crs2q(inst) + 8; + dec->imm =3D operand_cimmd(inst); + break; + case rv_codec_cs_sq: + dec->rd =3D rv_ireg_zero; + dec->rs1 =3D operand_crs1q(inst) + 8; + dec->rs2 =3D operand_crs2q(inst) + 8; + dec->imm =3D operand_cimmq(inst); + break; + case rv_codec_css_swsp: + dec->rd =3D rv_ireg_zero; + dec->rs1 =3D rv_ireg_sp; + dec->rs2 =3D operand_crs2(inst); + dec->imm =3D operand_cimmswsp(inst); + break; + case rv_codec_css_sdsp: + dec->rd =3D rv_ireg_zero; + dec->rs1 =3D rv_ireg_sp; + dec->rs2 =3D operand_crs2(inst); + dec->imm =3D operand_cimmsdsp(inst); + break; + case rv_codec_css_sqsp: + dec->rd =3D rv_ireg_zero; + dec->rs1 =3D rv_ireg_sp; + dec->rs2 =3D operand_crs2(inst); + dec->imm =3D operand_cimmsqsp(inst); + break; + }; +} + +/* check constraint */ + +static bool check_constraints(rv_decode *dec, const rvc_constraint *c) +{ + int32_t imm =3D dec->imm; + uint8_t rd =3D dec->rd, rs1 =3D dec->rs1, rs2 =3D dec->rs2; + while (*c !=3D rvc_end) { + switch (*c) { + case rvc_simm_6: + if (!(imm >=3D -32 && imm < 32)) { + return false; + } + break; + case rvc_imm_6: + if (!(imm <=3D 63)) { + return false; + } + break; + case rvc_imm_7: + if (!(imm <=3D 127)) { + return false; + } + break; + case rvc_imm_8: + if (!(imm <=3D 255)) { + return false; + } + break; + case rvc_imm_9: + if (!(imm <=3D 511)) { + return false; + } + break; + case rvc_imm_10: + if (!(imm <=3D 1023)) { + return false; + } + break; + case rvc_imm_12: + if (!(imm <=3D 4095)) { + return false; + } + break; + case rvc_imm_18: + if (!(imm <=3D 262143)) { + return false; + } + break; + case rvc_imm_nz: + if (!(imm !=3D 0)) { + return false; + } + break; + case rvc_imm_x2: + if (!((imm & 0b1) =3D=3D 0)) { + return false; + } + break; + case rvc_imm_x4: + if (!((imm & 0b11) =3D=3D 0)) { + return false; + } + break; + case rvc_imm_x8: + if (!((imm & 0b111) =3D=3D 0)) { + return false; + } + break; + case rvc_imm_x16: + if (!((imm & 0b1111) =3D=3D 0)) { + return false; + } + break; + case rvc_rd_b3: + if (!(rd >=3D 8 && rd <=3D 15)) { + return false; + } + break; + case rvc_rs1_b3: + if (!(rs1 >=3D 8 && rs1 <=3D 15)) { + return false; + } + break; + case rvc_rs2_b3: + if (!(rs2 >=3D 8 && rs2 <=3D 15)) { + return false; + } + break; + case rvc_rd_eq_rs1: + if (!(rd =3D=3D rs1)) { + return false; + } + break; + case rvc_rd_eq_ra: + if (!(rd =3D=3D 1)) { + return false; + } + break; + case rvc_rd_eq_sp: + if (!(rd =3D=3D 2)) { + return false; + } + break; + case rvc_rd_eq_x0: + if (!(rd =3D=3D 0)) { + return false; + } + break; + case rvc_rs1_eq_sp: + if (!(rs1 =3D=3D 2)) { + return false; + } + break; + case rvc_rs1_eq_x0: + if (!(rs1 =3D=3D 0)) { + return false; + } + break; + case rvc_rs2_eq_x0: + if (!(rs2 =3D=3D 0)) { + return false; + } + break; + case rvc_rd_ne_x0_x2: + if (!(rd !=3D 0 && rd !=3D 2)) { + return false; + } + break; + case rvc_rd_ne_x0: + if (!(rd !=3D 0)) { + return false; + } + break; + case rvc_rs1_ne_x0: + if (!(rs1 !=3D 0)) { + return false; + } + break; + case rvc_rs2_ne_x0: + if (!(rs2 !=3D 0)) { + return false; + } + break; + case rvc_rs2_eq_rs1: + if (!(rs2 =3D=3D rs1)) { + return false; + } + break; + case rvc_rs1_eq_ra: + if (!(rs1 =3D=3D 1)) { + return false; + } + break; + case rvc_imm_eq_zero: + if (!(imm =3D=3D 0)) { + return false; + } + break; + case rvc_imm_eq_n1: + if (!(imm =3D=3D -1)) { + return false; + } + break; + case rvc_imm_eq_p1: + if (!(imm =3D=3D 1)) { + return false; + } + break; + case rvc_csr_eq_0x001: + if (!(imm =3D=3D 0x001)) { + return false; + } + break; + case rvc_csr_eq_0x002: + if (!(imm =3D=3D 0x002)) { + return false; + } + break; + case rvc_csr_eq_0x003: + if (!(imm =3D=3D 0x003)) { + return false; + } + break; + case rvc_csr_eq_0xc00: + if (!(imm =3D=3D 0xc00)) { + return false; + } + break; + case rvc_csr_eq_0xc01: + if (!(imm =3D=3D 0xc01)) { + return false; + } + break; + case rvc_csr_eq_0xc02: + if (!(imm =3D=3D 0xc02)) { + return false; + } + break; + case rvc_csr_eq_0xc80: + if (!(imm =3D=3D 0xc80)) { + return false; + } + break; + case rvc_csr_eq_0xc81: + if (!(imm =3D=3D 0xc81)) { + return false; + } + break; + case rvc_csr_eq_0xc82: + if (!(imm =3D=3D 0xc82)) { + return false; + } + break; + default: break; + } + c++; + } + return true; +} + +/* instruction length */ + +static size_t inst_length(rv_inst inst) +{ + /* NOTE: supports maximum instruction size of 64-bits */ + + /* instruction length coding + * + * aa - 16 bit aa !=3D 11 + * bbb11 - 32 bit bbb !=3D 111 + * 011111 - 48 bit + * 0111111 - 64 bit + */ + + return (inst & 0b11) !=3D 0b11 ? 2 + : (inst & 0b11100) !=3D 0b11100 ? 4 + : (inst & 0b111111) =3D=3D 0b011111 ? 6 + : (inst & 0b1111111) =3D=3D 0b0111111 ? 8 + : 0; +} + +/* format instruction */ + +static void append(char *s1, const char *s2, size_t n) +{ + size_t l1 =3D strlen(s1); + if (n - l1 - 1 > 0) { + strncat(s1, s2, n - l1); + } +} + +static void format_inst(char *buf, size_t buflen, size_t tab, rv_decode *d= ec) +{ + char tmp[64]; + const char *fmt; + + if (dec->op =3D=3D rv_op_illegal) { + size_t len =3D inst_length(dec->inst); + switch (len) { + case 2: + snprintf(buf, buflen, "(0x%04" PRIx64 ")", dec->inst); + break; + case 4: + snprintf(buf, buflen, "(0x%08" PRIx64 ")", dec->inst); + break; + case 6: + snprintf(buf, buflen, "(0x%012" PRIx64 ")", dec->inst); + break; + default: + snprintf(buf, buflen, "(0x%016" PRIx64 ")", dec->inst); + break; + } + return; + } + + fmt =3D opcode_data[dec->op].format; + while (*fmt) { + switch (*fmt) { + case 'O': + append(buf, opcode_data[dec->op].name, buflen); + break; + case '(': + append(buf, "(", buflen); + break; + case ',': + append(buf, ",", buflen); + break; + case ')': + append(buf, ")", buflen); + break; + case '0': + append(buf, rv_ireg_name_sym[dec->rd], buflen); + break; + case '1': + append(buf, rv_ireg_name_sym[dec->rs1], buflen); + break; + case '2': + append(buf, rv_ireg_name_sym[dec->rs2], buflen); + break; + case '3': + append(buf, rv_freg_name_sym[dec->rd], buflen); + break; + case '4': + append(buf, rv_freg_name_sym[dec->rs1], buflen); + break; + case '5': + append(buf, rv_freg_name_sym[dec->rs2], buflen); + break; + case '6': + append(buf, rv_freg_name_sym[dec->rs3], buflen); + break; + case '7': + snprintf(tmp, sizeof(tmp), "%d", dec->rs1); + append(buf, tmp, buflen); + break; + case 'i': + snprintf(tmp, sizeof(tmp), "%d", dec->imm); + append(buf, tmp, buflen); + break; + case 'o': + snprintf(tmp, sizeof(tmp), "%d", dec->imm); + append(buf, tmp, buflen); + while (strlen(buf) < tab * 2) { + append(buf, " ", buflen); + } + snprintf(tmp, sizeof(tmp), "# 0x%" PRIx64, + dec->pc + dec->imm); + append(buf, tmp, buflen); + break; + case 'c': { + const char *name =3D csr_name(dec->imm & 0xfff); + if (name) { + append(buf, name, buflen); + } else { + snprintf(tmp, sizeof(tmp), "0x%03x", dec->imm & 0xfff); + append(buf, tmp, buflen); + } + break; + } + case 'r': + switch (dec->rm) { + case rv_rm_rne: + append(buf, "rne", buflen); + break; + case rv_rm_rtz: + append(buf, "rtz", buflen); + break; + case rv_rm_rdn: + append(buf, "rdn", buflen); + break; + case rv_rm_rup: + append(buf, "rup", buflen); + break; + case rv_rm_rmm: + append(buf, "rmm", buflen); + break; + case rv_rm_dyn: + append(buf, "dyn", buflen); + break; + default: + append(buf, "inv", buflen); + break; + } + break; + case 'p': + if (dec->pred & rv_fence_i) { + append(buf, "i", buflen); + } + if (dec->pred & rv_fence_o) { + append(buf, "o", buflen); + } + if (dec->pred & rv_fence_r) { + append(buf, "r", buflen); + } + if (dec->pred & rv_fence_w) { + append(buf, "w", buflen); + } + break; + case 's': + if (dec->succ & rv_fence_i) { + append(buf, "i", buflen); + } + if (dec->succ & rv_fence_o) { + append(buf, "o", buflen); + } + if (dec->succ & rv_fence_r) { + append(buf, "r", buflen); + } + if (dec->succ & rv_fence_w) { + append(buf, "w", buflen); + } + break; + case '\t': + while (strlen(buf) < tab) { + append(buf, " ", buflen); + } + break; + case 'A': + if (dec->aq) { + append(buf, ".aq", buflen); + } + break; + case 'R': + if (dec->rl) { + append(buf, ".rl", buflen); + } + break; + default: + break; + } + fmt++; + } +} + +/* lift instruction to pseudo-instruction */ + +static void decode_inst_lift_pseudo(rv_decode *dec) +{ + const rv_comp_data *comp_data =3D opcode_data[dec->op].pseudo; + if (!comp_data) { + return; + } + while (comp_data->constraints) { + if (check_constraints(dec, comp_data->constraints)) { + dec->op =3D comp_data->op; + dec->codec =3D opcode_data[dec->op].codec; + return; + } + comp_data++; + } +} + +/* decompress instruction */ + +static void decode_inst_decompress_rv32(rv_decode *dec) +{ + int decomp_op =3D opcode_data[dec->op].decomp_rv32; + if (decomp_op !=3D rv_op_illegal) { + dec->op =3D decomp_op; + dec->codec =3D opcode_data[decomp_op].codec; + } +} + +static void decode_inst_decompress_rv64(rv_decode *dec) +{ + int decomp_op =3D opcode_data[dec->op].decomp_rv64; + if (decomp_op !=3D rv_op_illegal) { + dec->op =3D decomp_op; + dec->codec =3D opcode_data[decomp_op].codec; + } +} + +static void decode_inst_decompress_rv128(rv_decode *dec) +{ + int decomp_op =3D opcode_data[dec->op].decomp_rv128; + if (decomp_op !=3D rv_op_illegal) { + dec->op =3D decomp_op; + dec->codec =3D opcode_data[decomp_op].codec; + } +} + +static void decode_inst_decompress(rv_decode *dec, rv_isa isa) +{ + switch (isa) { + case rv32: + decode_inst_decompress_rv32(dec); + break; + case rv64: + decode_inst_decompress_rv64(dec); + break; + case rv128: + decode_inst_decompress_rv128(dec); + break; + } +} + +/* disassemble instruction */ + +static void +disasm_inst(char *buf, size_t buflen, rv_isa isa, uint64_t pc, rv_inst ins= t) +{ + rv_decode dec =3D { 0 }; + dec.pc =3D pc; + dec.inst =3D inst; + decode_inst_opcode(&dec, isa); + decode_inst_operands(&dec); + decode_inst_decompress(&dec, isa); + decode_inst_lift_pseudo(&dec); + format_inst(buf, buflen, 16, &dec); +} + +static int +print_insn_riscv(bfd_vma memaddr, struct disassemble_info *info, rv_isa is= a) +{ + char buf[128] =3D { 0 }; + bfd_byte packet[2]; + rv_inst inst =3D 0; + size_t len =3D 2; + bfd_vma n; + int status; + + /* Instructions are made of 2-byte packets in little-endian order */ + for (n =3D 0; n < len; n +=3D 2) { + status =3D (*info->read_memory_func)(memaddr + n, packet, 2, info); + if (status !=3D 0) { + /* Don't fail just because we fell off the end. */ + if (n > 0) { + break; + } + (*info->memory_error_func)(status, memaddr, info); + return status; + } + inst |=3D ((rv_inst) bfd_getl16(packet)) << (8 * n); + if (n =3D=3D 0) { + len =3D inst_length(inst); + } + } + + disasm_inst(buf, sizeof(buf), isa, memaddr, inst); + (*info->fprintf_func)(info->stream, "%s", buf); + + return len; +} + +int print_insn_riscv32(bfd_vma memaddr, struct disassemble_info *info) +{ + return print_insn_riscv(memaddr, info, rv32); +} + +int print_insn_riscv64(bfd_vma memaddr, struct disassemble_info *info) +{ + return print_insn_riscv(memaddr, info, rv64); +} diff --git a/include/disas/bfd.h b/include/disas/bfd.h index 46c7ec3..ea3714a 100644 --- a/include/disas/bfd.h +++ b/include/disas/bfd.h @@ -428,6 +428,8 @@ int print_insn_ia64 (bfd_vma, disassemble_i= nfo*); int print_insn_lm32 (bfd_vma, disassemble_info*); int print_insn_big_nios2 (bfd_vma, disassemble_info*); int print_insn_little_nios2 (bfd_vma, disassemble_info*); +int print_insn_riscv32 (bfd_vma, disassemble_info*); +int print_insn_riscv64 (bfd_vma, disassemble_info*); =20 #if 0 /* Fetch the disassembler for a given BFD, if that support is available. = */ --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515638234198831.9745521846642; Wed, 10 Jan 2018 18:37:14 -0800 (PST) Received: from localhost ([::1]:60420 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSjt-00061D-5f for importer@patchew.org; Wed, 10 Jan 2018 21:37:09 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48033) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWf-0003DN-PR for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:34 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWb-0008FI-6G for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:29 -0500 Received: from mail-pg0-x244.google.com ([2607:f8b0:400e:c05::244]:33259) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWa-0008E1-Q3 for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:25 -0500 Received: by mail-pg0-x244.google.com with SMTP id i196so1682311pgd.0 for ; Wed, 10 Jan 2018 18:23:24 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.21 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:22 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=kvLTrBwazAoj/3PAZukjxE0GrGvJt8qIe+BKTrr3SL4=; b=ZnAoNSg4ak0flIr+UrByBns4BaXOYszDtovbqnSaNm2vwhKyHl0P5MwClWvJBXuXGZ gVzipkemHjdgPqdfmCANKimCSDs8lvmUV1JOF5cOkMk2ubenzxYrOhti3G7JrhZCFAn6 cZ6qI9CUCDRyUQFQVcj4t3MjZ8giD5tuqtpHec1A889HKUFMlUQ3pUR/tiIMXHICKlyH hGGvT4aslc1gO+NsoTlJls7vQ4Xw/GEr1AjTs2gFRcy9ua+dYBlzSxC5gHvbYdhlQHk9 DQsnxSDoXQ2Mdq7Ax4FYkc2YRZ8hvnsjUvZvxfxl79UIgDhtVZlyKSkAsO77igMwrRN7 jY9Q== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=kvLTrBwazAoj/3PAZukjxE0GrGvJt8qIe+BKTrr3SL4=; b=sQKJWiayBOQyaOWFM3IO9w2PfVDxwC+v8HfrKS6Qa2cz1z+TlfcbgssBv1AdT7UefY 5EAybui556N66WBmAcgI+Gb9w+Lbk+oydBfx7maYLF2xxl/QJEWTrclTdk0ejY4aEhjX tKAYFR9BoDzPvgePE0zcuTc6YT/zgmhfiOmP6yf50HG6RMHNR3wScYR8Q98EDObwycxb pNtY3FvRvMsA9RMB48UNQBTtAGc41BUqJ4tekWnAiZW9tzKDvwvXiZ0tytIxGlEl7pPI IntzkntuF4bo0YfvboBJr8BUG+nbiYC96Lh6oeibvhmhz91P8SbdXn+S8uKHGVHj7djT OVJA== X-Gm-Message-State: AKGB3mKCriT4YJBllZqkwf9y0HNz1i4mw8GIyj/6OEBqjc3KKp6B/sU2 ZrClcXJ7dU0ujdLSd2jcfRYdIL8IZQc= X-Google-Smtp-Source: ACJfBouUJCidA1qIslDSihjUGT53JEBaJlvDLM2XPBEUPFXCL96UI2/94Av0LummYvXOmp21ISSMvA== X-Received: by 10.159.196.133 with SMTP id c5mr20076079plo.99.1515637402965; Wed, 10 Jan 2018 18:23:22 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:48 -0800 Message-Id: <1515637324-96034-6-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c05::244 Subject: [Qemu-devel] [PATCH v3 05/21] RISC-V CPU Helpers X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" Privileged control and status register helpers and page fault handling. Signed-off-by: Michael Clark --- target/riscv/helper.c | 499 ++++++++++++++++++++++++++++++++++ target/riscv/helper.h | 78 ++++++ target/riscv/op_helper.c | 682 +++++++++++++++++++++++++++++++++++++++++++= ++++ 3 files changed, 1259 insertions(+) create mode 100644 target/riscv/helper.c create mode 100644 target/riscv/helper.h create mode 100644 target/riscv/op_helper.c diff --git a/target/riscv/helper.c b/target/riscv/helper.c new file mode 100644 index 0000000..ff3f19a --- /dev/null +++ b/target/riscv/helper.c @@ -0,0 +1,499 @@ +/* + * RISC-V emulation helpers for qemu. + * + * Author: Sagar Karandikar, sagark@eecs.berkeley.edu + * + * + * This library is free software; you can redistribute it and/or + * modify it under the terms of the GNU Lesser General Public + * License as published by the Free Software Foundation; either + * version 2 of the License, or (at your option) any later version. + * + * This library is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + * Lesser General Public License for more details. + * + * You should have received a copy of the GNU Lesser General Public + * License along with this library; if not, see . + */ + +#include "qemu/osdep.h" +#include "qemu/log.h" +#include "cpu.h" +#include "exec/exec-all.h" + +#define RISCV_DEBUG_INTERRUPT 0 + +int riscv_cpu_mmu_index(CPURISCVState *env, bool ifetch) +{ +#ifdef CONFIG_USER_ONLY + return 0; +#else + target_ulong mode =3D env->priv; + if (!ifetch) { + if (get_field(env->mstatus, MSTATUS_MPRV)) { + mode =3D get_field(env->mstatus, MSTATUS_MPP); + } + } + if (env->priv_ver >=3D PRIV_VERSION_1_10_0) { + if (get_field(env->satp, SATP_MODE) =3D=3D VM_1_10_MBARE) { + mode =3D PRV_M; + } + } else { + if (get_field(env->mstatus, MSTATUS_VM) =3D=3D VM_1_09_MBARE) { + mode =3D PRV_M; + } + } + return mode; +#endif +} + +#ifndef CONFIG_USER_ONLY +/* + * Return RISC-V IRQ number if an interrupt should be taken, else -1. + * Used in cpu-exec.c + * + * Adapted from Spike's processor_t::take_interrupt() + */ +static int riscv_cpu_hw_interrupts_pending(CPURISCVState *env) +{ + target_ulong pending_interrupts =3D env->mip & env->mie; + + target_ulong mie =3D get_field(env->mstatus, MSTATUS_MIE); + target_ulong m_enabled =3D env->priv < PRV_M || (env->priv =3D=3D PRV_= M && mie); + target_ulong enabled_interrupts =3D pending_interrupts & + ~env->mideleg & -m_enabled; + + target_ulong sie =3D get_field(env->mstatus, MSTATUS_SIE); + target_ulong s_enabled =3D env->priv < PRV_S || (env->priv =3D=3D PRV_= S && sie); + enabled_interrupts |=3D pending_interrupts & env->mideleg & + -s_enabled; + + if (enabled_interrupts) { + target_ulong counted =3D ctz64(enabled_interrupts); /* since non-z= ero */ + if (counted =3D=3D IRQ_X_HOST) { + /* we're handing it to the cpu now, so get rid of the qemu irq= */ + qemu_irq_lower(HTIF_IRQ); + } else if (counted =3D=3D IRQ_M_TIMER) { + /* we're handing it to the cpu now, so get rid of the qemu irq= */ + qemu_irq_lower(MTIP_IRQ); + } else if (counted =3D=3D IRQ_S_TIMER || counted =3D=3D IRQ_H_TIME= R) { + /* don't lower irq here */ + } + return counted; + } else { + return EXCP_NONE; /* indicates no pending interrupt */ + } +} +#endif + +bool riscv_cpu_exec_interrupt(CPUState *cs, int interrupt_request) +{ +#if !defined(CONFIG_USER_ONLY) + if (interrupt_request & CPU_INTERRUPT_HARD) { + RISCVCPU *cpu =3D RISCV_CPU(cs); + CPURISCVState *env =3D &cpu->env; + int interruptno =3D riscv_cpu_hw_interrupts_pending(env); + if (interruptno + 1) { + cs->exception_index =3D RISCV_EXCP_INT_FLAG | interruptno; + riscv_cpu_do_interrupt(cs); + return true; + } + } +#endif + return false; +} + +#if !defined(CONFIG_USER_ONLY) + +/* get_physical_address - get the physical address for this virtual address + * + * Do a page table walk to obtain the physical address corresponding to a + * virtual address. Returns 0 if the translation was successful + * + * Adapted from Spike's mmu_t::translate and mmu_t::walk + * + */ +static int get_physical_address(CPURISCVState *env, hwaddr *physical, + int *prot, target_ulong address, + int access_type, int mmu_idx) +{ + /* NOTE: the env->pc value visible here will not be + * correct, but the value visible to the exception handler + * (riscv_cpu_do_interrupt) is correct */ + + const int mode =3D mmu_idx; + + *prot =3D 0; + CPUState *cs =3D CPU(riscv_env_get_cpu(env)); + + if (mode =3D=3D PRV_M) { + *physical =3D address; + *prot =3D PAGE_READ | PAGE_WRITE | PAGE_EXEC; + return TRANSLATE_SUCCESS; + } + + target_ulong addr =3D address; + target_ulong base; + + int levels, ptidxbits, ptesize, vm, sum; + int mxr =3D get_field(env->mstatus, MSTATUS_MXR); + + if (env->priv_ver >=3D PRIV_VERSION_1_10_0) { + base =3D get_field(env->satp, SATP_PPN) << PGSHIFT; + sum =3D get_field(env->mstatus, MSTATUS_SUM); + vm =3D get_field(env->satp, SATP_MODE); + switch (vm) { + case VM_1_10_SV32: + levels =3D 2; ptidxbits =3D 10; ptesize =3D 4; break; + case VM_1_10_SV39: + levels =3D 3; ptidxbits =3D 9; ptesize =3D 8; break; + case VM_1_10_SV48: + levels =3D 4; ptidxbits =3D 9; ptesize =3D 8; break; + case VM_1_10_SV57: + levels =3D 5; ptidxbits =3D 9; ptesize =3D 8; break; + case VM_1_10_MBARE: + /* cpu_mmu_index returns PRV_M for S-Mode bare */ + default: + g_assert_not_reached(); + } + } else { + base =3D env->sptbr << PGSHIFT; + sum =3D !get_field(env->mstatus, MSTATUS_PUM); + vm =3D get_field(env->mstatus, MSTATUS_VM); + switch (vm) { + case VM_1_09_SV32: + levels =3D 2; ptidxbits =3D 10; ptesize =3D 4; break; + case VM_1_09_SV39: + levels =3D 3; ptidxbits =3D 9; ptesize =3D 8; break; + case VM_1_09_SV48: + levels =3D 4; ptidxbits =3D 9; ptesize =3D 8; break; + case VM_1_09_MBARE: + /* cpu_mmu_index returns PRV_M for S-Mode bare */ + default: + g_assert_not_reached(); + } + } + + int va_bits =3D PGSHIFT + levels * ptidxbits; + target_ulong mask =3D (1L << (TARGET_LONG_BITS - (va_bits - 1))) - 1; + target_ulong masked_msbs =3D (addr >> (va_bits - 1)) & mask; + if (masked_msbs !=3D 0 && masked_msbs !=3D mask) { + return TRANSLATE_FAIL; + } + + int ptshift =3D (levels - 1) * ptidxbits; + int i; + for (i =3D 0; i < levels; i++, ptshift -=3D ptidxbits) { + target_ulong idx =3D (addr >> (PGSHIFT + ptshift)) & + ((1 << ptidxbits) - 1); + + /* check that physical address of PTE is legal */ + target_ulong pte_addr =3D base + idx * ptesize; + target_ulong pte =3D ldq_phys(cs->as, pte_addr); + target_ulong ppn =3D pte >> PTE_PPN_SHIFT; + + if (PTE_TABLE(pte)) { /* next level of page table */ + base =3D ppn << PGSHIFT; + } else if ((pte & PTE_U) ? (mode =3D=3D PRV_S) && !sum : !(mode = =3D=3D PRV_S)) { + break; + } else if (!(pte & PTE_V) || (!(pte & PTE_R) && (pte & PTE_W))) { + break; + } else if (access_type =3D=3D MMU_INST_FETCH ? !(pte & PTE_X) : + access_type =3D=3D MMU_DATA_LOAD ? !(pte & PTE_R) && + !(mxr && (pte & PTE_X)) : !((pte & PTE_R) && (pte & PTE_= W))) { + break; + } else { + /* set accessed and possibly dirty bits. + we only put it in the TLB if it has the right stuff */ + stq_phys(cs->as, pte_addr, ldq_phys(cs->as, pte_addr) | PTE_A | + ((access_type =3D=3D MMU_DATA_STORE) * PTE_D)); + + /* for superpage mappings, make a fake leaf PTE for the TLB's + benefit. */ + target_ulong vpn =3D addr >> PGSHIFT; + *physical =3D (ppn | (vpn & ((1L << ptshift) - 1))) << PGSHIFT; + + /* we do not give all prots indicated by the PTE + * this is because future accesses need to do things like set = the + * dirty bit on the PTE + * + * at this point, we assume that protection checks have occurr= ed */ + if (mode =3D=3D PRV_S) { + if ((pte & PTE_X) && access_type =3D=3D MMU_INST_FETCH) { + *prot |=3D PAGE_EXEC; + } else if ((pte & PTE_W) && access_type =3D=3D MMU_DATA_ST= ORE) { + *prot |=3D PAGE_WRITE; + } else if ((pte & PTE_R) && access_type =3D=3D MMU_DATA_LO= AD) { + *prot |=3D PAGE_READ; + } else { + g_assert_not_reached(); + } + } else { + if ((pte & PTE_X) && access_type =3D=3D MMU_INST_FETCH) { + *prot |=3D PAGE_EXEC; + } else if ((pte & PTE_W) && access_type =3D=3D MMU_DATA_ST= ORE) { + *prot |=3D PAGE_WRITE; + } else if ((pte & PTE_R) && access_type =3D=3D MMU_DATA_LO= AD) { + *prot |=3D PAGE_READ; + } else { + g_assert_not_reached(); + } + } + return TRANSLATE_SUCCESS; + } + } + return TRANSLATE_FAIL; +} + +static void raise_mmu_exception(CPURISCVState *env, target_ulong address, + MMUAccessType access_type) +{ + CPUState *cs =3D CPU(riscv_env_get_cpu(env)); + int page_fault_exceptions =3D + (env->priv_ver >=3D PRIV_VERSION_1_10_0) && + get_field(env->satp, SATP_MODE) !=3D VM_1_10_MBARE; + int exception =3D 0; + if (access_type =3D=3D MMU_INST_FETCH) { /* inst access */ + exception =3D page_fault_exceptions ? + RISCV_EXCP_INST_PAGE_FAULT : RISCV_EXCP_INST_ACCESS_FAULT; + env->badaddr =3D address; + } else if (access_type =3D=3D MMU_DATA_STORE) { /* store access */ + exception =3D page_fault_exceptions ? + RISCV_EXCP_STORE_PAGE_FAULT : RISCV_EXCP_STORE_AMO_ACCESS_FAUL= T; + env->badaddr =3D address; + } else if (access_type =3D=3D MMU_DATA_LOAD) { /* load access */ + exception =3D page_fault_exceptions ? + RISCV_EXCP_LOAD_PAGE_FAULT : RISCV_EXCP_LOAD_ACCESS_FAULT; + env->badaddr =3D address; + } else { + g_assert_not_reached(); + } + cs->exception_index =3D exception; +} + +hwaddr riscv_cpu_get_phys_page_debug(CPUState *cs, vaddr addr) +{ + RISCVCPU *cpu =3D RISCV_CPU(cs); + hwaddr phys_addr; + int prot; + int mem_idx =3D cpu_mmu_index(&cpu->env, false); + + if (get_physical_address(&cpu->env, &phys_addr, &prot, addr, 0, mem_id= x)) { + return -1; + } + return phys_addr; +} + +void riscv_cpu_do_unaligned_access(CPUState *cs, vaddr addr, + MMUAccessType access_type, int mmu_idx, + uintptr_t retaddr) +{ + RISCVCPU *cpu =3D RISCV_CPU(cs); + CPURISCVState *env =3D &cpu->env; + if (access_type =3D=3D MMU_INST_FETCH) { + cs->exception_index =3D RISCV_EXCP_INST_ADDR_MIS; + env->badaddr =3D addr; + } else if (access_type =3D=3D MMU_DATA_STORE) { + cs->exception_index =3D RISCV_EXCP_STORE_AMO_ADDR_MIS; + env->badaddr =3D addr; + } else if (access_type =3D=3D MMU_DATA_LOAD) { + cs->exception_index =3D RISCV_EXCP_LOAD_ADDR_MIS; + env->badaddr =3D addr; + } else { + g_assert_not_reached(); + } + do_raise_exception_err(env, cs->exception_index, retaddr); +} + +/* called by qemu's softmmu to fill the qemu tlb */ +void tlb_fill(CPUState *cs, target_ulong addr, MMUAccessType access_type, + int mmu_idx, uintptr_t retaddr) +{ + int ret; + ret =3D riscv_cpu_handle_mmu_fault(cs, addr, access_type, mmu_idx); + if (ret =3D=3D TRANSLATE_FAIL) { + RISCVCPU *cpu =3D RISCV_CPU(cs); + CPURISCVState *env =3D &cpu->env; + do_raise_exception_err(env, cs->exception_index, retaddr); + } +} + +void riscv_cpu_unassigned_access(CPUState *cs, hwaddr addr, bool is_write, + bool is_exec, int unused, unsigned size) +{ + RISCVCPU *cpu =3D RISCV_CPU(cs); + CPURISCVState *env =3D &cpu->env; + if (is_exec) { + cs->exception_index =3D RISCV_EXCP_INST_ACCESS_FAULT; + env->badaddr =3D addr; + } else if (is_write) { + cs->exception_index =3D RISCV_EXCP_STORE_AMO_ACCESS_FAULT; + env->badaddr =3D addr; + } else { + cs->exception_index =3D RISCV_EXCP_LOAD_ACCESS_FAULT; + env->badaddr =3D addr; + } + qemu_log_mask(LOG_GUEST_ERROR, "cpu_unassigned_access: %016" PRIx64 "\= n", + addr); + do_raise_exception_err(env, cs->exception_index, env->pc); +} + +#endif + +int riscv_cpu_handle_mmu_fault(CPUState *cs, vaddr address, + int access_type, int mmu_idx) +{ + RISCVCPU *cpu =3D RISCV_CPU(cs); + CPURISCVState *env =3D &cpu->env; +#if !defined(CONFIG_USER_ONLY) + hwaddr pa =3D 0; + int prot; +#endif + int ret =3D TRANSLATE_FAIL; + + qemu_log_mask(CPU_LOG_MMU, + "%s pc " TARGET_FMT_lx " ad %" VADDR_PRIx " access_type %d mmu= _idx \ + %d\n", __func__, env->pc, address, access_type, mmu_idx); + +#if !defined(CONFIG_USER_ONLY) + ret =3D get_physical_address(env, &pa, &prot, address, access_type, + mmu_idx); + qemu_log_mask(CPU_LOG_MMU, + "%s address=3D%" VADDR_PRIx " ret %d physical " TARGET_FMT_plx + " prot %d\n", __func__, address, ret, pa, prot); + if (!pmp_hart_has_privs(env, pa, TARGET_PAGE_SIZE, 1 << access_type)) { + ret =3D TRANSLATE_FAIL; + } + if (ret =3D=3D TRANSLATE_SUCCESS) { + tlb_set_page(cs, address & TARGET_PAGE_MASK, pa & TARGET_PAGE_MASK, + prot, mmu_idx, TARGET_PAGE_SIZE); + } else if (ret =3D=3D TRANSLATE_FAIL) { + raise_mmu_exception(env, address, access_type); + } +#else + cs->exception_index =3D QEMU_USER_EXCP_FAULT; +#endif + return ret; +} + +/* + * Handle Traps + * + * Adapted from Spike's processor_t::take_trap. + * + */ +void riscv_cpu_do_interrupt(CPUState *cs) +{ +#if !defined(CONFIG_USER_ONLY) + + RISCVCPU *cpu =3D RISCV_CPU(cs); + CPURISCVState *env =3D &cpu->env; + + if (RISCV_DEBUG_INTERRUPT) { + int log_cause =3D cs->exception_index & RISCV_EXCP_INT_MASK; + if (cs->exception_index & RISCV_EXCP_INT_FLAG) { + qemu_log_mask(LOG_TRACE, "core 0: trap %s, epc 0x" TARGET_FM= T_lx, + riscv_intr_names[log_cause], env->pc); + } else { + qemu_log_mask(LOG_TRACE, "core 0: intr %s, epc 0x" TARGET_FM= T_lx, + riscv_excp_names[log_cause], env->pc); + } + } + + target_ulong fixed_cause =3D 0; + if (cs->exception_index & (RISCV_EXCP_INT_FLAG)) { + /* hacky for now. the MSB (bit 63) indicates interrupt but cs->exc= eption + index is only 32 bits wide */ + fixed_cause =3D cs->exception_index & RISCV_EXCP_INT_MASK; + fixed_cause |=3D ((target_ulong)1) << (TARGET_LONG_BITS - 1); + } else { + /* fixup User ECALL -> correct priv ECALL */ + if (cs->exception_index =3D=3D RISCV_EXCP_U_ECALL) { + switch (env->priv) { + case PRV_U: + fixed_cause =3D RISCV_EXCP_U_ECALL; + break; + case PRV_S: + fixed_cause =3D RISCV_EXCP_S_ECALL; + break; + case PRV_H: + fixed_cause =3D RISCV_EXCP_H_ECALL; + break; + case PRV_M: + fixed_cause =3D RISCV_EXCP_M_ECALL; + break; + } + } else { + fixed_cause =3D cs->exception_index; + } + } + + target_ulong backup_epc =3D env->pc; + + target_ulong bit =3D fixed_cause; + target_ulong deleg =3D env->medeleg; + + int hasbadaddr =3D + (fixed_cause =3D=3D RISCV_EXCP_INST_ADDR_MIS) || + (fixed_cause =3D=3D RISCV_EXCP_INST_ACCESS_FAULT) || + (fixed_cause =3D=3D RISCV_EXCP_LOAD_ADDR_MIS) || + (fixed_cause =3D=3D RISCV_EXCP_STORE_AMO_ADDR_MIS) || + (fixed_cause =3D=3D RISCV_EXCP_LOAD_ACCESS_FAULT) || + (fixed_cause =3D=3D RISCV_EXCP_STORE_AMO_ACCESS_FAULT) || + (fixed_cause =3D=3D RISCV_EXCP_INST_PAGE_FAULT) || + (fixed_cause =3D=3D RISCV_EXCP_LOAD_PAGE_FAULT) || + (fixed_cause =3D=3D RISCV_EXCP_STORE_PAGE_FAULT); + + if (bit & ((target_ulong)1 << (TARGET_LONG_BITS - 1))) { + deleg =3D env->mideleg; + bit &=3D ~((target_ulong)1 << (TARGET_LONG_BITS - 1)); + } + + if (env->priv <=3D PRV_S && bit < 64 && ((deleg >> bit) & 1)) { + /* handle the trap in S-mode */ + /* No need to check STVEC for misaligned - lower 2 bits cannot be = set */ + env->pc =3D env->stvec; + env->scause =3D fixed_cause; + env->sepc =3D backup_epc; + + if (hasbadaddr) { + if (RISCV_DEBUG_INTERRUPT) { + qemu_log_mask(LOG_TRACE, "core " TARGET_FMT_ld + ": badaddr 0x" TARGET_FMT_lx, env->mhartid, env->badad= dr); + } + env->sbadaddr =3D env->badaddr; + } + + target_ulong s =3D env->mstatus; + s =3D set_field(s, MSTATUS_SPIE, get_field(s, MSTATUS_UIE << env->= priv)); + s =3D set_field(s, MSTATUS_SPP, env->priv); + s =3D set_field(s, MSTATUS_SIE, 0); + csr_write_helper(env, s, CSR_MSTATUS); + riscv_set_mode(env, PRV_S); + } else { + /* No need to check MTVEC for misaligned - lower 2 bits cannot be = set */ + env->pc =3D env->mtvec; + env->mepc =3D backup_epc; + env->mcause =3D fixed_cause; + + if (hasbadaddr) { + if (RISCV_DEBUG_INTERRUPT) { + qemu_log_mask(LOG_TRACE, "core " TARGET_FMT_ld + ": badaddr 0x" TARGET_FMT_lx, env->mhartid, env->badad= dr); + } + env->mbadaddr =3D env->badaddr; + } + + target_ulong s =3D env->mstatus; + s =3D set_field(s, MSTATUS_MPIE, get_field(s, MSTATUS_UIE << env->= priv)); + s =3D set_field(s, MSTATUS_MPP, env->priv); + s =3D set_field(s, MSTATUS_MIE, 0); + csr_write_helper(env, s, CSR_MSTATUS); + riscv_set_mode(env, PRV_M); + } + /* TODO yield load reservation */ +#endif + cs->exception_index =3D EXCP_NONE; /* mark handled to qemu */ +} diff --git a/target/riscv/helper.h b/target/riscv/helper.h new file mode 100644 index 0000000..60f04a2 --- /dev/null +++ b/target/riscv/helper.h @@ -0,0 +1,78 @@ +/* Exceptions */ +DEF_HELPER_2(raise_exception, noreturn, env, i32) +DEF_HELPER_1(raise_exception_debug, noreturn, env) +DEF_HELPER_3(raise_exception_mbadaddr, noreturn, env, i32, tl) + +/* Floating Point - fused */ +DEF_HELPER_FLAGS_5(fmadd_s, TCG_CALL_NO_RWG, i64, env, i64, i64, i64, i64) +DEF_HELPER_FLAGS_5(fmadd_d, TCG_CALL_NO_RWG, i64, env, i64, i64, i64, i64) +DEF_HELPER_FLAGS_5(fmsub_s, TCG_CALL_NO_RWG, i64, env, i64, i64, i64, i64) +DEF_HELPER_FLAGS_5(fmsub_d, TCG_CALL_NO_RWG, i64, env, i64, i64, i64, i64) +DEF_HELPER_FLAGS_5(fnmsub_s, TCG_CALL_NO_RWG, i64, env, i64, i64, i64, i64) +DEF_HELPER_FLAGS_5(fnmsub_d, TCG_CALL_NO_RWG, i64, env, i64, i64, i64, i64) +DEF_HELPER_FLAGS_5(fnmadd_s, TCG_CALL_NO_RWG, i64, env, i64, i64, i64, i64) +DEF_HELPER_FLAGS_5(fnmadd_d, TCG_CALL_NO_RWG, i64, env, i64, i64, i64, i64) + +/* Floating Point - Single Precision */ +DEF_HELPER_FLAGS_4(fadd_s, TCG_CALL_NO_RWG, i64, env, i64, i64, i64) +DEF_HELPER_FLAGS_4(fsub_s, TCG_CALL_NO_RWG, i64, env, i64, i64, i64) +DEF_HELPER_FLAGS_4(fmul_s, TCG_CALL_NO_RWG, i64, env, i64, i64, i64) +DEF_HELPER_FLAGS_4(fdiv_s, TCG_CALL_NO_RWG, i64, env, i64, i64, i64) +DEF_HELPER_FLAGS_3(fmin_s, TCG_CALL_NO_RWG, i64, env, i64, i64) +DEF_HELPER_FLAGS_3(fmax_s, TCG_CALL_NO_RWG, i64, env, i64, i64) +DEF_HELPER_FLAGS_3(fsqrt_s, TCG_CALL_NO_RWG, i64, env, i64, i64) +DEF_HELPER_FLAGS_3(fle_s, TCG_CALL_NO_RWG, tl, env, i64, i64) +DEF_HELPER_FLAGS_3(flt_s, TCG_CALL_NO_RWG, tl, env, i64, i64) +DEF_HELPER_FLAGS_3(feq_s, TCG_CALL_NO_RWG, tl, env, i64, i64) +DEF_HELPER_FLAGS_3(fcvt_w_s, TCG_CALL_NO_RWG, tl, env, i64, i64) +DEF_HELPER_FLAGS_3(fcvt_wu_s, TCG_CALL_NO_RWG, tl, env, i64, i64) +#if defined(TARGET_RISCV64) +DEF_HELPER_FLAGS_3(fcvt_l_s, TCG_CALL_NO_RWG, i64, env, i64, i64) +DEF_HELPER_FLAGS_3(fcvt_lu_s, TCG_CALL_NO_RWG, i64, env, i64, i64) +#endif +DEF_HELPER_FLAGS_3(fcvt_s_w, TCG_CALL_NO_RWG, i64, env, tl, i64) +DEF_HELPER_FLAGS_3(fcvt_s_wu, TCG_CALL_NO_RWG, i64, env, tl, i64) +#if defined(TARGET_RISCV64) +DEF_HELPER_FLAGS_3(fcvt_s_l, TCG_CALL_NO_RWG, i64, env, i64, i64) +DEF_HELPER_FLAGS_3(fcvt_s_lu, TCG_CALL_NO_RWG, i64, env, i64, i64) +#endif +DEF_HELPER_FLAGS_2(fclass_s, TCG_CALL_NO_RWG, tl, env, i64) + +/* Floating Point - Double Precision */ +DEF_HELPER_FLAGS_4(fadd_d, TCG_CALL_NO_RWG, i64, env, i64, i64, i64) +DEF_HELPER_FLAGS_4(fsub_d, TCG_CALL_NO_RWG, i64, env, i64, i64, i64) +DEF_HELPER_FLAGS_4(fmul_d, TCG_CALL_NO_RWG, i64, env, i64, i64, i64) +DEF_HELPER_FLAGS_4(fdiv_d, TCG_CALL_NO_RWG, i64, env, i64, i64, i64) +DEF_HELPER_FLAGS_3(fmin_d, TCG_CALL_NO_RWG, i64, env, i64, i64) +DEF_HELPER_FLAGS_3(fmax_d, TCG_CALL_NO_RWG, i64, env, i64, i64) +DEF_HELPER_FLAGS_3(fcvt_s_d, TCG_CALL_NO_RWG, i64, env, i64, i64) +DEF_HELPER_FLAGS_3(fcvt_d_s, TCG_CALL_NO_RWG, i64, env, i64, i64) +DEF_HELPER_FLAGS_3(fsqrt_d, TCG_CALL_NO_RWG, i64, env, i64, i64) +DEF_HELPER_FLAGS_3(fle_d, TCG_CALL_NO_RWG, tl, env, i64, i64) +DEF_HELPER_FLAGS_3(flt_d, TCG_CALL_NO_RWG, tl, env, i64, i64) +DEF_HELPER_FLAGS_3(feq_d, TCG_CALL_NO_RWG, tl, env, i64, i64) +DEF_HELPER_FLAGS_3(fcvt_w_d, TCG_CALL_NO_RWG, tl, env, i64, i64) +DEF_HELPER_FLAGS_3(fcvt_wu_d, TCG_CALL_NO_RWG, tl, env, i64, i64) +#if defined(TARGET_RISCV64) +DEF_HELPER_FLAGS_3(fcvt_l_d, TCG_CALL_NO_RWG, i64, env, i64, i64) +DEF_HELPER_FLAGS_3(fcvt_lu_d, TCG_CALL_NO_RWG, i64, env, i64, i64) +#endif +DEF_HELPER_FLAGS_3(fcvt_d_w, TCG_CALL_NO_RWG, i64, env, tl, i64) +DEF_HELPER_FLAGS_3(fcvt_d_wu, TCG_CALL_NO_RWG, i64, env, tl, i64) +#if defined(TARGET_RISCV64) +DEF_HELPER_FLAGS_3(fcvt_d_l, TCG_CALL_NO_RWG, i64, env, i64, i64) +DEF_HELPER_FLAGS_3(fcvt_d_lu, TCG_CALL_NO_RWG, i64, env, i64, i64) +#endif +DEF_HELPER_FLAGS_2(fclass_d, TCG_CALL_NO_RWG, tl, env, i64) + +/* Special functions */ +DEF_HELPER_3(csrrw, tl, env, tl, tl) +DEF_HELPER_4(csrrs, tl, env, tl, tl, tl) +DEF_HELPER_4(csrrc, tl, env, tl, tl, tl) +#ifndef CONFIG_USER_ONLY +DEF_HELPER_2(sret, tl, env, tl) +DEF_HELPER_2(mret, tl, env, tl) +DEF_HELPER_1(wfi, void, env) +DEF_HELPER_1(tlb_flush, void, env) +DEF_HELPER_1(fence_i, void, env) +#endif diff --git a/target/riscv/op_helper.c b/target/riscv/op_helper.c new file mode 100644 index 0000000..1930ab1 --- /dev/null +++ b/target/riscv/op_helper.c @@ -0,0 +1,682 @@ +/* + * RISC-V Emulation Helpers for QEMU. + * + * Author: Sagar Karandikar, sagark@eecs.berkeley.edu + * + * + * This library is free software; you can redistribute it and/or + * modify it under the terms of the GNU Lesser General Public + * License as published by the Free Software Foundation; either + * version 2 of the License, or (at your option) any later version. + * + * This library is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + * Lesser General Public License for more details. + * + * You should have received a copy of the GNU Lesser General Public + * License along with this library; if not, see . + */ + +#include "qemu/osdep.h" +#include "qemu/log.h" +#include "cpu.h" +#include "qemu/main-loop.h" +#include "exec/exec-all.h" +#include "exec/helper-proto.h" + +#ifndef CONFIG_USER_ONLY + +#if defined(TARGET_RISCV32) +static const char valid_vm_1_09[16] =3D { + [VM_1_09_MBARE] =3D 1, + [VM_1_09_SV32] =3D 1, +}; +static const char valid_vm_1_10[16] =3D { + [VM_1_10_MBARE] =3D 1, + [VM_1_10_SV32] =3D 1 +}; +#elif defined(TARGET_RISCV64) +static const char valid_vm_1_09[16] =3D { + [VM_1_09_MBARE] =3D 1, + [VM_1_09_SV39] =3D 1, + [VM_1_09_SV48] =3D 1, +}; +static const char valid_vm_1_10[16] =3D { + [VM_1_10_MBARE] =3D 1, + [VM_1_10_SV39] =3D 1, + [VM_1_10_SV48] =3D 1, + [VM_1_10_SV57] =3D 1 +}; +#endif + +static int validate_vm(CPURISCVState *env, target_ulong vm) +{ + return (env->priv_ver >=3D PRIV_VERSION_1_10_0) ? + valid_vm_1_10[vm & 0xf] : valid_vm_1_09[vm & 0xf]; +} + +#endif + +/* Exceptions processing helpers */ +inline void QEMU_NORETURN do_raise_exception_err(CPURISCVState *env, + uint32_t exception, uintptr_t pc) +{ + CPUState *cs =3D CPU(riscv_env_get_cpu(env)); + qemu_log_mask(CPU_LOG_INT, "%s: %d\n", __func__, exception); + cs->exception_index =3D exception; + cpu_loop_exit_restore(cs, pc); +} + +void helper_raise_exception(CPURISCVState *env, uint32_t exception) +{ + do_raise_exception_err(env, exception, 0); +} + +void helper_raise_exception_debug(CPURISCVState *env) +{ + do_raise_exception_err(env, EXCP_DEBUG, 0); +} + +void helper_raise_exception_mbadaddr(CPURISCVState *env, uint32_t exceptio= n, + target_ulong bad_pc) { + env->badaddr =3D bad_pc; + do_raise_exception_err(env, exception, 0); +} + +/* + * Handle writes to CSRs and any resulting special behavior + * + * Adapted from Spike's processor_t::set_csr + */ +inline void csr_write_helper(CPURISCVState *env, target_ulong val_to_write, + target_ulong csrno) +{ + #ifdef RISCV_DEBUG_PRINT + qemu_log_mask(LOG_TRACE, "Write CSR reg: 0x" TARGET_FMT_lx, csrno); + qemu_log_mask(LOG_TRACE, "Write CSR val: 0x" TARGET_FMT_lx, val_to_wri= te); + #endif + +#ifndef CONFIG_USER_ONLY + uint64_t delegable_ints =3D MIP_SSIP | MIP_STIP | MIP_SEIP | (1 << IRQ= _X_COP); + uint64_t all_ints =3D delegable_ints | MIP_MSIP | MIP_MTIP; +#endif + + switch (csrno) { + case CSR_FFLAGS: + if (riscv_mstatus_fs(env)) { + env->fflags =3D val_to_write & (FSR_AEXC >> FSR_AEXC_SHIFT); + } else { + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + } + break; + case CSR_FRM: + if (riscv_mstatus_fs(env)) { + env->frm =3D val_to_write & (FSR_RD >> FSR_RD_SHIFT); + } else { + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + } + break; + case CSR_FCSR: + if (riscv_mstatus_fs(env)) { + env->fflags =3D (val_to_write & FSR_AEXC) >> FSR_AEXC_SHIFT; + env->frm =3D (val_to_write & FSR_RD) >> FSR_RD_SHIFT; + } else { + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + } + break; +#ifndef CONFIG_USER_ONLY + case CSR_MSTATUS: { + target_ulong mstatus =3D env->mstatus; + target_ulong mask =3D 0; + if (env->priv_ver <=3D PRIV_VERSION_1_09_1) { + if ((val_to_write ^ mstatus) & (MSTATUS_MXR | MSTATUS_MPP | + MSTATUS_MPRV | MSTATUS_SUM | MSTATUS_VM)) { + helper_tlb_flush(env); + } + mask =3D MSTATUS_SIE | MSTATUS_SPIE | MSTATUS_MIE | MSTATUS_MP= IE | + MSTATUS_SPP | MSTATUS_FS | MSTATUS_MPRV | MSTATUS_SUM | + MSTATUS_MPP | MSTATUS_MXR | + (validate_vm(env, get_field(val_to_write, MSTATUS_VM)) ? + MSTATUS_VM : 0); + } + if (env->priv_ver >=3D PRIV_VERSION_1_10_0) { + if ((val_to_write ^ mstatus) & (MSTATUS_MXR | MSTATUS_MPP | + MSTATUS_MPRV | MSTATUS_SUM)) { + helper_tlb_flush(env); + } + mask =3D MSTATUS_SIE | MSTATUS_SPIE | MSTATUS_MIE | MSTATUS_MP= IE | + MSTATUS_SPP | MSTATUS_FS | MSTATUS_MPRV | MSTATUS_SUM | + MSTATUS_MPP | MSTATUS_MXR; + } + mstatus =3D (mstatus & ~mask) | (val_to_write & mask); + int dirty =3D (mstatus & MSTATUS_FS) =3D=3D MSTATUS_FS; + dirty |=3D (mstatus & MSTATUS_XS) =3D=3D MSTATUS_XS; + mstatus =3D set_field(mstatus, MSTATUS_SD, dirty); + env->mstatus =3D mstatus; + break; + } + case CSR_MIP: { + target_ulong mask =3D MIP_SSIP | MIP_STIP | MIP_SEIP; + env->mip =3D (env->mip & ~mask) | + (val_to_write & mask); + qemu_mutex_lock_iothread(); + if (env->mip & MIP_SSIP) { + qemu_irq_raise(SSIP_IRQ); + } else { + qemu_irq_lower(SSIP_IRQ); + } + if (env->mip & MIP_STIP) { + qemu_irq_raise(STIP_IRQ); + } else { + qemu_irq_lower(STIP_IRQ); + } + if (env->mip & MIP_SEIP) { + qemu_irq_raise(SEIP_IRQ); + } else { + qemu_irq_lower(SEIP_IRQ); + } + qemu_mutex_unlock_iothread(); + break; + } + case CSR_MIE: { + env->mie =3D (env->mie & ~all_ints) | + (val_to_write & all_ints); + break; + } + case CSR_MIDELEG: + env->mideleg =3D (env->mideleg & ~delegable_ints) + | (val_to_write & delegable_ints); + break; + case CSR_MEDELEG: { + target_ulong mask =3D 0; + mask |=3D 1ULL << (RISCV_EXCP_INST_ADDR_MIS); + mask |=3D 1ULL << (RISCV_EXCP_INST_ACCESS_FAULT); + mask |=3D 1ULL << (RISCV_EXCP_ILLEGAL_INST); + mask |=3D 1ULL << (RISCV_EXCP_BREAKPOINT); + mask |=3D 1ULL << (RISCV_EXCP_LOAD_ADDR_MIS); + mask |=3D 1ULL << (RISCV_EXCP_LOAD_ACCESS_FAULT); + mask |=3D 1ULL << (RISCV_EXCP_STORE_AMO_ADDR_MIS); + mask |=3D 1ULL << (RISCV_EXCP_STORE_AMO_ACCESS_FAULT); + mask |=3D 1ULL << (RISCV_EXCP_U_ECALL); + mask |=3D 1ULL << (RISCV_EXCP_S_ECALL); + mask |=3D 1ULL << (RISCV_EXCP_H_ECALL); + mask |=3D 1ULL << (RISCV_EXCP_M_ECALL); + mask |=3D 1ULL << (RISCV_EXCP_INST_PAGE_FAULT); + mask |=3D 1ULL << (RISCV_EXCP_LOAD_PAGE_FAULT); + mask |=3D 1ULL << (RISCV_EXCP_STORE_PAGE_FAULT); + env->medeleg =3D (env->medeleg & ~mask) + | (val_to_write & mask); + break; + } + case CSR_MINSTRET: + qemu_log_mask(LOG_UNIMP, "CSR_MINSTRET: write not implemented"); + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + break; + case CSR_MCYCLE: + qemu_log_mask(LOG_UNIMP, "CSR_MCYCLE: write not implemented"); + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + break; + case CSR_MINSTRETH: + qemu_log_mask(LOG_UNIMP, "CSR_MINSTRETH: write not implemented"); + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + break; + case CSR_MCYCLEH: + qemu_log_mask(LOG_UNIMP, "CSR_MCYCLEH: write not implemented"); + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + break; + case CSR_MUCOUNTEREN: + env->mucounteren =3D val_to_write; + break; + case CSR_MSCOUNTEREN: + env->mscounteren =3D val_to_write; + break; + case CSR_SSTATUS: { + target_ulong ms =3D env->mstatus; + target_ulong mask =3D SSTATUS_SIE | SSTATUS_SPIE | SSTATUS_UIE + | SSTATUS_UPIE | SSTATUS_SPP | SSTATUS_FS | SSTATUS_XS + | SSTATUS_SUM | SSTATUS_MXR | SSTATUS_SD; + ms =3D (ms & ~mask) | (val_to_write & mask); + csr_write_helper(env, ms, CSR_MSTATUS); + break; + } + case CSR_SIP: { + target_ulong next_mip =3D (env->mip & ~env->mideleg) + | (val_to_write & env->mideleg); + csr_write_helper(env, next_mip, CSR_MIP); + break; + } + case CSR_SIE: { + target_ulong next_mie =3D (env->mie & ~env->mideleg) + | (val_to_write & env->mideleg); + csr_write_helper(env, next_mie, CSR_MIE); + break; + } + case CSR_SATP: /* CSR_SPTBR */ { + if (env->priv_ver <=3D PRIV_VERSION_1_09_1 && (val_to_write ^ env-= >sptbr)) + { + helper_tlb_flush(env); + env->sptbr =3D val_to_write & (((target_ulong) + 1 << (TARGET_PHYS_ADDR_SPACE_BITS - PGSHIFT)) - 1); + } + if (env->priv_ver >=3D PRIV_VERSION_1_10_0 && + validate_vm(env, get_field(val_to_write, SATP_MODE)) && + ((val_to_write ^ env->satp) & (SATP_MODE | SATP_ASID | SATP_PP= N))) + { + helper_tlb_flush(env); + env->satp =3D val_to_write; + } + break; + } + case CSR_SEPC: + env->sepc =3D val_to_write; + break; + case CSR_STVEC: + if (val_to_write & 1) { + qemu_log_mask(LOG_UNIMP, "CSR_STVEC: vectored traps not suppor= ted"); + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + } else { + env->stvec =3D val_to_write >> 2 << 2; + } + break; + case CSR_SCOUNTEREN: + env->scounteren =3D val_to_write; + break; + case CSR_SSCRATCH: + env->sscratch =3D val_to_write; + break; + case CSR_SCAUSE: + env->scause =3D val_to_write; + break; + case CSR_SBADADDR: + env->sbadaddr =3D val_to_write; + break; + case CSR_MEPC: + env->mepc =3D val_to_write; + break; + case CSR_MTVEC: + if (val_to_write & 1) { + qemu_log_mask(LOG_UNIMP, "CSR_MTVEC: vectored traps not suppor= ted"); + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + } else { + env->mtvec =3D val_to_write >> 2 << 2; + } + break; + case CSR_MCOUNTEREN: + env->mcounteren =3D val_to_write; + break; + case CSR_MSCRATCH: + env->mscratch =3D val_to_write; + break; + case CSR_MCAUSE: + env->mcause =3D val_to_write; + break; + case CSR_MBADADDR: + env->mbadaddr =3D val_to_write; + break; + case CSR_MISA: { + if (!(val_to_write & (1L << ('F' - 'A')))) { + val_to_write &=3D ~(1L << ('D' - 'A')); + } + + /* allow MAFDC bits in MISA to be modified */ + target_ulong mask =3D 0; + mask |=3D 1L << ('M' - 'A'); + mask |=3D 1L << ('A' - 'A'); + mask |=3D 1L << ('F' - 'A'); + mask |=3D 1L << ('D' - 'A'); + mask |=3D 1L << ('C' - 'A'); + mask &=3D env->misa_mask; + + env->misa =3D (val_to_write & mask) | (env->misa & ~mask); + break; + } + case CSR_PMPCFG0: + case CSR_PMPCFG1: + case CSR_PMPCFG2: + case CSR_PMPCFG3: + pmpcfg_csr_write(env, csrno - CSR_PMPCFG0, val_to_write); + break; + case CSR_PMPADDR0: + case CSR_PMPADDR1: + case CSR_PMPADDR2: + case CSR_PMPADDR3: + case CSR_PMPADDR4: + case CSR_PMPADDR5: + case CSR_PMPADDR6: + case CSR_PMPADDR7: + case CSR_PMPADDR8: + case CSR_PMPADDR9: + case CSR_PMPADDR10: + case CSR_PMPADDR11: + case CSR_PMPADDR12: + case CSR_PMPADDR13: + case CSR_PMPADDR14: + case CSR_PMPADDR15: + pmpaddr_csr_write(env, csrno - CSR_PMPADDR0, val_to_write); + break; +#endif + default: + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + } +} + +/* + * Handle reads to CSRs and any resulting special behavior + * + * Adapted from Spike's processor_t::get_csr + */ +inline target_ulong csr_read_helper(CPURISCVState *env, target_ulong csrno) +{ + #ifdef RISCV_DEBUG_PRINT + qemu_log_mask(LOG_TRACE, "Read CSR reg: 0x" TARGET_FMT_lx, csrno); + #endif + +#ifndef CONFIG_USER_ONLY + target_ulong ctr_en =3D env->priv =3D=3D PRV_U ? env->mucounteren : + env->priv =3D=3D PRV_S ? env->mscounteren : -1U; +#else + target_ulong ctr_en =3D env->mucounteren; +#endif + target_ulong ctr_ok =3D (ctr_en >> (csrno & 31)) & 1; + + if (ctr_ok) { + if (csrno >=3D CSR_HPMCOUNTER3 && csrno <=3D CSR_HPMCOUNTER31) { + return 0; + } +#if defined(TARGET_RISCV32) + if (csrno >=3D CSR_HPMCOUNTER3H && csrno <=3D CSR_HPMCOUNTER31H) { + return 0; + } +#endif + } + if (csrno >=3D CSR_MHPMCOUNTER3 && csrno <=3D CSR_MHPMCOUNTER31) { + return 0; + } +#if defined(TARGET_RISCV32) + if (csrno >=3D CSR_MHPMCOUNTER3 && csrno <=3D CSR_MHPMCOUNTER31) { + return 0; + } +#endif + if (csrno >=3D CSR_MHPMEVENT3 && csrno <=3D CSR_MHPMEVENT31) { + return 0; + } + + switch (csrno) { + case CSR_FFLAGS: + if (riscv_mstatus_fs(env)) { + return env->fflags; + } else { + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + } + case CSR_FRM: + if (riscv_mstatus_fs(env)) { + return env->frm; + } else { + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + } + case CSR_FCSR: + if (riscv_mstatus_fs(env)) { + return env->fflags << FSR_AEXC_SHIFT | env->frm << FSR_RD_SHIF= T; + } else { + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + } +#ifdef CONFIG_USER_ONLY + case CSR_TIME: + case CSR_CYCLE: + case CSR_INSTRET: + return (target_ulong)cpu_get_host_ticks(); + case CSR_TIMEH: + case CSR_CYCLEH: + case CSR_INSTRETH: +#if defined(TARGET_RISCV32) + return (target_ulong)(cpu_get_host_ticks() >> 32); +#endif + break; +#endif +#ifndef CONFIG_USER_ONLY + case CSR_TIME: + return cpu_riscv_read_rtc(); + case CSR_TIMEH: + return (target_ulong)(cpu_riscv_read_rtc() >> 32); + case CSR_INSTRET: + case CSR_CYCLE: + if (ctr_ok) { + return cpu_riscv_read_instret(env); + } + break; + case CSR_MINSTRET: + case CSR_MCYCLE: + return cpu_riscv_read_instret(env); + case CSR_MINSTRETH: + case CSR_MCYCLEH: +#if defined(TARGET_RISCV32) + return cpu_riscv_read_instret(env) >> 32; +#endif + break; + case CSR_MUCOUNTEREN: + return env->mucounteren; + case CSR_MSCOUNTEREN: + return env->mscounteren; + case CSR_SSTATUS: { + target_ulong mask =3D SSTATUS_SIE | SSTATUS_SPIE | SSTATUS_UIE + | SSTATUS_UPIE | SSTATUS_SPP | SSTATUS_FS | SSTATUS_XS + | SSTATUS_SUM | SSTATUS_SD; + if (env->priv_ver >=3D PRIV_VERSION_1_10_0) { + mask |=3D SSTATUS_MXR; + } + return env->mstatus & mask; + } + case CSR_SIP: + return env->mip & env->mideleg; + case CSR_SIE: + return env->mie & env->mideleg; + case CSR_SEPC: + return env->sepc; + case CSR_SBADADDR: + return env->sbadaddr; + case CSR_STVEC: + return env->stvec; + case CSR_SCOUNTEREN: + return env->scounteren; + case CSR_SCAUSE: + return env->scause; + case CSR_SPTBR: + if (env->priv_ver >=3D PRIV_VERSION_1_10_0) { + return env->satp; + } else { + return env->sptbr; + } + case CSR_SSCRATCH: + return env->sscratch; + case CSR_MSTATUS: + return env->mstatus; + case CSR_MIP: + return env->mip; + case CSR_MIE: + return env->mie; + case CSR_MEPC: + return env->mepc; + case CSR_MSCRATCH: + return env->mscratch; + case CSR_MCAUSE: + return env->mcause; + case CSR_MBADADDR: + return env->mbadaddr; + case CSR_MISA: + return env->misa; + case CSR_MARCHID: + return 0; /* as spike does */ + case CSR_MIMPID: + return 0; /* as spike does */ + case CSR_MVENDORID: + return 0; /* as spike does */ + case CSR_MHARTID: + return env->mhartid; + case CSR_MTVEC: + return env->mtvec; + case CSR_MCOUNTEREN: + return env->mcounteren; + case CSR_MEDELEG: + return env->medeleg; + case CSR_MIDELEG: + return env->mideleg; + case CSR_PMPCFG0: + case CSR_PMPCFG1: + case CSR_PMPCFG2: + case CSR_PMPCFG3: + return pmpcfg_csr_read(env, csrno - CSR_PMPCFG0); + case CSR_PMPADDR0: + case CSR_PMPADDR1: + case CSR_PMPADDR2: + case CSR_PMPADDR3: + case CSR_PMPADDR4: + case CSR_PMPADDR5: + case CSR_PMPADDR6: + case CSR_PMPADDR7: + case CSR_PMPADDR8: + case CSR_PMPADDR9: + case CSR_PMPADDR10: + case CSR_PMPADDR11: + case CSR_PMPADDR12: + case CSR_PMPADDR13: + case CSR_PMPADDR14: + case CSR_PMPADDR15: + return pmpaddr_csr_read(env, csrno - CSR_PMPADDR0); +#endif + } + /* used by e.g. MTIME read */ + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + return 0; +} + +/* + * Check that CSR access is allowed. + * + * Adapted from Spike's decode.h:validate_csr + */ +void validate_csr(CPURISCVState *env, uint64_t which, uint64_t write) +{ +#ifndef CONFIG_USER_ONLY + unsigned csr_priv =3D get_field((which), 0x300); + unsigned csr_read_only =3D get_field((which), 0xC00) =3D=3D 3; + if (((write) && csr_read_only) || (env->priv < csr_priv)) { + do_raise_exception_err(env, RISCV_EXCP_ILLEGAL_INST, env->pc); + } +#endif +} + +target_ulong helper_csrrw(CPURISCVState *env, target_ulong src, + target_ulong csr) +{ + validate_csr(env, csr, 1); + uint64_t csr_backup =3D csr_read_helper(env, csr); + csr_write_helper(env, src, csr); + return csr_backup; +} + +target_ulong helper_csrrs(CPURISCVState *env, target_ulong src, + target_ulong csr, target_ulong rs1_pass) +{ + validate_csr(env, csr, rs1_pass !=3D 0); + uint64_t csr_backup =3D csr_read_helper(env, csr); + if (rs1_pass !=3D 0) { + csr_write_helper(env, src | csr_backup, csr); + } + return csr_backup; +} + +target_ulong helper_csrrc(CPURISCVState *env, target_ulong src, + target_ulong csr, target_ulong rs1_pass) +{ + validate_csr(env, csr, rs1_pass !=3D 0); + uint64_t csr_backup =3D csr_read_helper(env, csr); + if (rs1_pass !=3D 0) { + csr_write_helper(env, (~src) & csr_backup, csr); + } + return csr_backup; +} + +#ifndef CONFIG_USER_ONLY + +void riscv_set_mode(CPURISCVState *env, target_ulong newpriv) +{ + if (newpriv > PRV_M) { + g_assert_not_reached(); + } + if (newpriv =3D=3D PRV_H) { + newpriv =3D PRV_U; + } + helper_tlb_flush(env); + env->priv =3D newpriv; +} + +target_ulong helper_sret(CPURISCVState *env, target_ulong cpu_pc_deb) +{ + if (!(env->priv >=3D PRV_S)) { + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + } + + target_ulong retpc =3D env->sepc; + if (!riscv_has_ext(env, RVC) && (retpc & 0x3)) { + helper_raise_exception(env, RISCV_EXCP_INST_ADDR_MIS); + } + + target_ulong mstatus =3D env->mstatus; + target_ulong prev_priv =3D get_field(mstatus, MSTATUS_SPP); + mstatus =3D set_field(mstatus, MSTATUS_UIE << prev_priv, + get_field(mstatus, MSTATUS_SPIE)); + mstatus =3D set_field(mstatus, MSTATUS_SPIE, 0); + mstatus =3D set_field(mstatus, MSTATUS_SPP, PRV_U); + riscv_set_mode(env, prev_priv); + csr_write_helper(env, mstatus, CSR_MSTATUS); + + return retpc; +} + +target_ulong helper_mret(CPURISCVState *env, target_ulong cpu_pc_deb) +{ + if (!(env->priv >=3D PRV_M)) { + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); + } + + target_ulong retpc =3D env->mepc; + if (!riscv_has_ext(env, RVC) && (retpc & 0x3)) { + helper_raise_exception(env, RISCV_EXCP_INST_ADDR_MIS); + } + + target_ulong mstatus =3D env->mstatus; + target_ulong prev_priv =3D get_field(mstatus, MSTATUS_MPP); + mstatus =3D set_field(mstatus, MSTATUS_UIE << prev_priv, + get_field(mstatus, MSTATUS_MPIE)); + mstatus =3D set_field(mstatus, MSTATUS_MPIE, 0); + mstatus =3D set_field(mstatus, MSTATUS_MPP, PRV_U); + riscv_set_mode(env, prev_priv); + csr_write_helper(env, mstatus, CSR_MSTATUS); + + return retpc; +} + + +void helper_wfi(CPURISCVState *env) +{ + CPUState *cs =3D CPU(riscv_env_get_cpu(env)); + + cs->halted =3D 1; + cs->exception_index =3D EXCP_HLT; + cpu_loop_exit(cs); +} + +void helper_fence_i(CPURISCVState *env) +{ + /* FENCE.I is a no-op in qemu as self modifying code is detected */ +} + +void helper_tlb_flush(CPURISCVState *env) +{ + RISCVCPU *cpu =3D riscv_env_get_cpu(env); + CPUState *cs =3D CPU(cpu); + tlb_flush(cs); +} + +#endif /* !CONFIG_USER_ONLY */ --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515637551408543.9307878600891; Wed, 10 Jan 2018 18:25:51 -0800 (PST) Received: from localhost ([::1]:59906 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSYw-0004kK-EN for importer@patchew.org; Wed, 10 Jan 2018 21:25:50 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48007) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWe-0003Au-Aj for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:33 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWb-0008Fc-Hy for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:28 -0500 Received: from mail-pg0-x241.google.com ([2607:f8b0:400e:c05::241]:33257) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWb-0008F7-95 for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:25 -0500 Received: by mail-pg0-x241.google.com with SMTP id i196so1682324pgd.0 for ; Wed, 10 Jan 2018 18:23:25 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.23 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:23 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=EdQ6s0ygff3dHpGd/6olKpeIQ5XZOZOnBkXFzHpVgLc=; b=dKCWBqrHxNk385MiOztCqevOsyrjCXtL05xHrabbaBA+AS2m++h6XlBaO0vLgtf++b wo1cDiKlMxZVhsUiq16zHM3rWViZcZ1zUvDGmtc6kDMHNNptTcpKkatPphckboy0IYqv GVX19XWI+G3V5vo1hwwIDkQ0ZpBVl5PDH3ACDyNa5K8xyq4JQz7FeyiPGGVPrhkqvSQy l7qk5gawJV4EU3w0A03B78hEwR0uG/65X9Z60F53CnZ3p/74Rl81ze+MV1qtPb7zabmc y7xcgQ5cYLwB1cWYV6TsZpG9JRwq4UIch+WRPPq8Tze19AE7idqo/etc2+EkBt1U3n6Q UtaA== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=EdQ6s0ygff3dHpGd/6olKpeIQ5XZOZOnBkXFzHpVgLc=; b=PjItFOJwCjAMc97A75f7UatjtlgDE11txAr6uv375ENC1edVmFdmycO22n1sbO+gua SqSgKr+esGk4USuXrimHv6UNhtnew1eB+H+VD2JeBvqp2YXddU09nfT7pcjr1kSHMxbw 7Aee1SMiNMHL4h81kNakqvddfQ/SWC61mnY8QFIavndOCS+iMqPTOid/cfA+r924HMrq eG/T3wdxiGK+UlKPRpU6zK2g0gJfsz8r1bKLXmtHTfiNg4pyEFgQUN+dzjZhtUKNu9ch wvs+s4sOVHCxD7qpUDw3SElAKTa0Et+BqxFAkGLc4drvMpmuvP+HV4bVM5Wo+4fV+iGq im+Q== X-Gm-Message-State: AKwxytc9JHXPB3knBXkIdAb3RuMmUBnLNdWEguNvqrsA8ZJJm3kWtMmk tVmsN5Rfzo8rY8vQ2O5hnJhgiLZGs1s= X-Google-Smtp-Source: ACJfBotIuC72EUMx7zGJR35eLBc+H+Xcu4k3lPxfTnLmiwvw9CJWpV7ifNZ8iNUjE16doRb1mRhsIw== X-Received: by 10.99.190.76 with SMTP id g12mr1965869pgo.235.1515637403952; Wed, 10 Jan 2018 18:23:23 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:49 -0800 Message-Id: <1515637324-96034-7-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c05::241 Subject: [Qemu-devel] [PATCH v3 06/21] RISC-V FPU Support X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" Helper routines for FPU instructions and NaN definitions. Signed-off-by: Michael Clark --- fpu/softfloat-specialize.h | 7 +- target/riscv/fpu_helper.c | 591 +++++++++++++++++++++++++++++++++++++++++= ++++ 2 files changed, 595 insertions(+), 3 deletions(-) create mode 100644 target/riscv/fpu_helper.c diff --git a/fpu/softfloat-specialize.h b/fpu/softfloat-specialize.h index de2c5d5..49ee578 100644 --- a/fpu/softfloat-specialize.h +++ b/fpu/softfloat-specialize.h @@ -114,7 +114,8 @@ float32 float32_default_nan(float_status *status) #if defined(TARGET_SPARC) || defined(TARGET_M68K) return const_float32(0x7FFFFFFF); #elif defined(TARGET_PPC) || defined(TARGET_ARM) || defined(TARGET_ALPHA) = || \ - defined(TARGET_XTENSA) || defined(TARGET_S390X) || defined(TARGET_TR= ICORE) + defined(TARGET_XTENSA) || defined(TARGET_S390X) || \ + defined(TARGET_TRICORE) || defined(TARGET_RISCV) return const_float32(0x7FC00000); #elif defined(TARGET_HPPA) return const_float32(0x7FA00000); @@ -139,7 +140,7 @@ float64 float64_default_nan(float_status *status) #if defined(TARGET_SPARC) || defined(TARGET_M68K) return const_float64(LIT64(0x7FFFFFFFFFFFFFFF)); #elif defined(TARGET_PPC) || defined(TARGET_ARM) || defined(TARGET_ALPHA) = || \ - defined(TARGET_S390X) + defined(TARGET_S390X) || defined(TARGET_RISCV) return const_float64(LIT64(0x7FF8000000000000)); #elif defined(TARGET_HPPA) return const_float64(LIT64(0x7FF4000000000000)); @@ -189,7 +190,7 @@ float128 float128_default_nan(float_status *status) r.high =3D LIT64(0x7FFF7FFFFFFFFFFF); } else { r.low =3D LIT64(0x0000000000000000); -#if defined(TARGET_S390X) || defined(TARGET_PPC) +#if defined(TARGET_S390X) || defined(TARGET_PPC) || defined(TARGET_RISCV) r.high =3D LIT64(0x7FFF800000000000); #else r.high =3D LIT64(0xFFFF800000000000); diff --git a/target/riscv/fpu_helper.c b/target/riscv/fpu_helper.c new file mode 100644 index 0000000..ada985f --- /dev/null +++ b/target/riscv/fpu_helper.c @@ -0,0 +1,591 @@ +/* + * RISC-V FPU Emulation Helpers for QEMU. + * + * Author: Sagar Karandikar, sagark@eecs.berkeley.edu + * + * + * This library is free software; you can redistribute it and/or + * modify it under the terms of the GNU Lesser General Public + * License as published by the Free Software Foundation; either + * version 2 of the License, or (at your option) any later version. + * + * This library is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + * Lesser General Public License for more details. + * + * You should have received a copy of the GNU Lesser General Public + * License along with this library; if not, see . + */ + +#include "qemu/osdep.h" +#include +#include "cpu.h" +#include "qemu/host-utils.h" +#include "exec/helper-proto.h" + +/* convert RISC-V rounding mode to IEEE library numbers */ +unsigned int ieee_rm[] =3D { + float_round_nearest_even, + float_round_to_zero, + float_round_down, + float_round_up, + float_round_ties_away +}; + +/* obtain rm value to use in computation + * as the last step, convert rm codes to what the softfloat library expects + * Adapted from Spike's decode.h:RM + */ +#define RM ({ \ +if (rm =3D=3D 7) { \ + rm =3D env->frm; \ +} \ +if (rm > 4) { \ + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); \ +} \ +ieee_rm[rm]; }) + +#ifndef CONFIG_USER_ONLY +#define require_fp if (!(env->mstatus & MSTATUS_FS)) { \ + helper_raise_exception(env, RISCV_EXCP_ILLEGAL_INST); \ +} +#else +#define require_fp /* nop */ +#endif + +/* convert softfloat library flag numbers to RISC-V */ +unsigned int softfloat_flags_to_riscv(unsigned int flags) +{ + int rv_flags =3D 0; + rv_flags |=3D (flags & float_flag_inexact) ? 1 : 0; + rv_flags |=3D (flags & float_flag_underflow) ? 2 : 0; + rv_flags |=3D (flags & float_flag_overflow) ? 4 : 0; + rv_flags |=3D (flags & float_flag_divbyzero) ? 8 : 0; + rv_flags |=3D (flags & float_flag_invalid) ? 16 : 0; + return rv_flags; +} + +/* adapted from Spike's decode.h:set_fp_exceptions */ +#define set_fp_exceptions() do { \ + env->fflags |=3D softfloat_flags_to_riscv(get_float_exception_flags(\ + &env->fp_status)); \ + set_float_exception_flags(0, &env->fp_status); \ +} while (0) + +uint64_t helper_fmadd_s(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t frs3, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float32_muladd(frs1, frs2, frs3, 0, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fmadd_d(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t frs3, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float64_muladd(frs1, frs2, frs3, 0, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fmsub_s(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t frs3, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float32_muladd(frs1, frs2, frs3 ^ (uint32_t)INT32_MIN, 0, + &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fmsub_d(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t frs3, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float64_muladd(frs1, frs2, frs3 ^ (uint64_t)INT64_MIN, 0, + &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fnmsub_s(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t frs3, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float32_muladd(frs1 ^ (uint32_t)INT32_MIN, frs2, frs3, 0, + &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fnmsub_d(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t frs3, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float64_muladd(frs1 ^ (uint64_t)INT64_MIN, frs2, frs3, 0, + &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fnmadd_s(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t frs3, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float32_muladd(frs1 ^ (uint32_t)INT32_MIN, frs2, + frs3 ^ (uint32_t)INT32_MIN, 0, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fnmadd_d(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t frs3, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float64_muladd(frs1 ^ (uint64_t)INT64_MIN, frs2, + frs3 ^ (uint64_t)INT64_MIN, 0, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fadd_s(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float32_add(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fsub_s(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float32_sub(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fmul_s(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float32_mul(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fdiv_s(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float32_div(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fmin_s(CPURISCVState *env, uint64_t frs1, uint64_t frs2) +{ + require_fp; + frs1 =3D float32_minnum(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fmax_s(CPURISCVState *env, uint64_t frs1, uint64_t frs2) +{ + require_fp; + frs1 =3D float32_maxnum(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fsqrt_s(CPURISCVState *env, uint64_t frs1, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float32_sqrt(frs1, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +target_ulong helper_fle_s(CPURISCVState *env, uint64_t frs1, uint64_t frs2) +{ + require_fp; + frs1 =3D float32_le(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +target_ulong helper_flt_s(CPURISCVState *env, uint64_t frs1, uint64_t frs2) +{ + require_fp; + frs1 =3D float32_lt(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +target_ulong helper_feq_s(CPURISCVState *env, uint64_t frs1, uint64_t frs2) +{ + require_fp; + frs1 =3D float32_eq_quiet(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +target_ulong helper_fcvt_w_s(CPURISCVState *env, uint64_t frs1, uint64_t r= m) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float32_to_int32(frs1, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +target_ulong helper_fcvt_wu_s(CPURISCVState *env, uint64_t frs1, uint64_t = rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D (int32_t)float32_to_uint32(frs1, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +#if defined(TARGET_RISCV64) +uint64_t helper_fcvt_l_s(CPURISCVState *env, uint64_t frs1, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float32_to_int64(frs1, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fcvt_lu_s(CPURISCVState *env, uint64_t frs1, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float32_to_uint64(frs1, &env->fp_status); + set_fp_exceptions(); + return frs1; +} +#endif + +uint64_t helper_fcvt_s_w(CPURISCVState *env, target_ulong rs1, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + rs1 =3D int32_to_float32((int32_t)rs1, &env->fp_status); + set_fp_exceptions(); + return rs1; +} + +uint64_t helper_fcvt_s_wu(CPURISCVState *env, target_ulong rs1, uint64_t r= m) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + rs1 =3D uint32_to_float32((uint32_t)rs1, &env->fp_status); + set_fp_exceptions(); + return rs1; +} + +#if defined(TARGET_RISCV64) +uint64_t helper_fcvt_s_l(CPURISCVState *env, uint64_t rs1, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + rs1 =3D int64_to_float32(rs1, &env->fp_status); + set_fp_exceptions(); + return rs1; +} + +uint64_t helper_fcvt_s_lu(CPURISCVState *env, uint64_t rs1, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + rs1 =3D uint64_to_float32(rs1, &env->fp_status); + set_fp_exceptions(); + return rs1; +} +#endif + +/* adapted from spike */ +#define isNaNF32UI(ui) (0xFF000000 < (uint32_t)((uint_fast32_t)ui << 1)) +#define signF32UI(a) ((bool)((uint32_t)a >> 31)) +#define expF32UI(a) ((int_fast16_t)(a >> 23) & 0xFF) +#define fracF32UI(a) (a & 0x007FFFFF) + +union ui32_f32 { uint32_t ui; uint32_t f; }; + +uint_fast16_t float32_classify(uint32_t a, float_status *status) +{ + union ui32_f32 uA; + uint_fast32_t uiA; + + uA.f =3D a; + uiA =3D uA.ui; + + uint_fast16_t infOrNaN =3D expF32UI(uiA) =3D=3D 0xFF; + uint_fast16_t subnormalOrZero =3D expF32UI(uiA) =3D=3D 0; + bool sign =3D signF32UI(uiA); + + return + (sign && infOrNaN && fracF32UI(uiA) =3D=3D 0) << 0 | + (sign && !infOrNaN && !subnormalOrZero) << 1 | + (sign && subnormalOrZero && fracF32UI(uiA)) << 2 | + (sign && subnormalOrZero && fracF32UI(uiA) =3D=3D 0) << 3 | + (!sign && infOrNaN && fracF32UI(uiA) =3D=3D 0) << 7 | + (!sign && !infOrNaN && !subnormalOrZero) << 6 | + (!sign && subnormalOrZero && fracF32UI(uiA)) << 5 | + (!sign && subnormalOrZero && fracF32UI(uiA) =3D=3D 0) << 4 | + (isNaNF32UI(uiA) && float32_is_signaling_nan(uiA, status)) << 8 | + (isNaNF32UI(uiA) && !float32_is_signaling_nan(uiA, status)) << 9; +} + +target_ulong helper_fclass_s(CPURISCVState *env, uint64_t frs1) +{ + require_fp; + frs1 =3D float32_classify(frs1, &env->fp_status); + return frs1; +} + +uint64_t helper_fadd_d(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float64_add(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fsub_d(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float64_sub(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fmul_d(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float64_mul(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fdiv_d(CPURISCVState *env, uint64_t frs1, uint64_t frs2, + uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float64_div(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fmin_d(CPURISCVState *env, uint64_t frs1, uint64_t frs2) +{ + require_fp; + frs1 =3D float64_minnum(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fmax_d(CPURISCVState *env, uint64_t frs1, uint64_t frs2) +{ + require_fp; + frs1 =3D float64_maxnum(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fcvt_s_d(CPURISCVState *env, uint64_t rs1, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + rs1 =3D float64_to_float32(rs1, &env->fp_status); + set_fp_exceptions(); + return rs1; +} + +uint64_t helper_fcvt_d_s(CPURISCVState *env, uint64_t rs1, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + rs1 =3D float32_to_float64(rs1, &env->fp_status); + set_fp_exceptions(); + return rs1; +} + +uint64_t helper_fsqrt_d(CPURISCVState *env, uint64_t frs1, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float64_sqrt(frs1, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +target_ulong helper_fle_d(CPURISCVState *env, uint64_t frs1, uint64_t frs2) +{ + require_fp; + frs1 =3D float64_le(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +target_ulong helper_flt_d(CPURISCVState *env, uint64_t frs1, uint64_t frs2) +{ + require_fp; + frs1 =3D float64_lt(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +target_ulong helper_feq_d(CPURISCVState *env, uint64_t frs1, uint64_t frs2) +{ + require_fp; + frs1 =3D float64_eq_quiet(frs1, frs2, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +target_ulong helper_fcvt_w_d(CPURISCVState *env, uint64_t frs1, uint64_t r= m) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D (int64_t)((int32_t)float64_to_int32(frs1, &env->fp_status)); + set_fp_exceptions(); + return frs1; +} + +target_ulong helper_fcvt_wu_d(CPURISCVState *env, uint64_t frs1, uint64_t = rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D (int64_t)((int32_t)float64_to_uint32(frs1, &env->fp_status)); + set_fp_exceptions(); + return frs1; +} + +#if defined(TARGET_RISCV64) +uint64_t helper_fcvt_l_d(CPURISCVState *env, uint64_t frs1, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float64_to_int64(frs1, &env->fp_status); + set_fp_exceptions(); + return frs1; +} + +uint64_t helper_fcvt_lu_d(CPURISCVState *env, uint64_t frs1, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + frs1 =3D float64_to_uint64(frs1, &env->fp_status); + set_fp_exceptions(); + return frs1; +} +#endif + +uint64_t helper_fcvt_d_w(CPURISCVState *env, target_ulong rs1, uint64_t rm) +{ + require_fp; + uint64_t res; + set_float_rounding_mode(RM, &env->fp_status); + res =3D int32_to_float64((int32_t)rs1, &env->fp_status); + set_fp_exceptions(); + return res; +} + +uint64_t helper_fcvt_d_wu(CPURISCVState *env, target_ulong rs1, uint64_t r= m) +{ + require_fp; + uint64_t res; + set_float_rounding_mode(RM, &env->fp_status); + res =3D uint32_to_float64((uint32_t)rs1, &env->fp_status); + set_fp_exceptions(); + return res; +} + +#if defined(TARGET_RISCV64) +uint64_t helper_fcvt_d_l(CPURISCVState *env, uint64_t rs1, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + rs1 =3D int64_to_float64(rs1, &env->fp_status); + set_fp_exceptions(); + return rs1; +} + +uint64_t helper_fcvt_d_lu(CPURISCVState *env, uint64_t rs1, uint64_t rm) +{ + require_fp; + set_float_rounding_mode(RM, &env->fp_status); + rs1 =3D uint64_to_float64(rs1, &env->fp_status); + set_fp_exceptions(); + return rs1; +} +#endif + +/* adapted from spike */ +#define isNaNF64UI(ui) (UINT64_C(0xFFE0000000000000) \ + < (uint64_t)((uint_fast64_t)ui << 1)) +#define signF64UI(a) ((bool)((uint64_t) a >> 63)) +#define expF64UI(a) ((int_fast16_t)(a >> 52) & 0x7FF) +#define fracF64UI(a) (a & UINT64_C(0x000FFFFFFFFFFFFF)) + +union ui64_f64 { uint64_t ui; uint64_t f; }; + +uint_fast16_t float64_classify(uint64_t a, float_status *status) +{ + union ui64_f64 uA; + uint_fast64_t uiA; + + uA.f =3D a; + uiA =3D uA.ui; + + uint_fast16_t infOrNaN =3D expF64UI(uiA) =3D=3D 0x7FF; + uint_fast16_t subnormalOrZero =3D expF64UI(uiA) =3D=3D 0; + bool sign =3D signF64UI(uiA); + + return + (sign && infOrNaN && fracF64UI(uiA) =3D=3D 0) << 0 | + (sign && !infOrNaN && !subnormalOrZero) << 1 | + (sign && subnormalOrZero && fracF64UI(uiA)) << 2 | + (sign && subnormalOrZero && fracF64UI(uiA) =3D=3D 0) << 3 | + (!sign && infOrNaN && fracF64UI(uiA) =3D=3D 0) << 7 | + (!sign && !infOrNaN && !subnormalOrZero) << 6 | + (!sign && subnormalOrZero && fracF64UI(uiA)) << 5 | + (!sign && subnormalOrZero && fracF64UI(uiA) =3D=3D 0) << 4 | + (isNaNF64UI(uiA) && float64_is_signaling_nan(uiA, status)) << 8 | + (isNaNF64UI(uiA) && !float64_is_signaling_nan(uiA, status)) << 9; +} + +target_ulong helper_fclass_d(CPURISCVState *env, uint64_t frs1) +{ + require_fp; + frs1 =3D float64_classify(frs1, &env->fp_status); + return frs1; +} --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515638078108555.1034643313534; Wed, 10 Jan 2018 18:34:38 -0800 (PST) Received: from localhost ([::1]:59962 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZShR-0003jn-B2 for importer@patchew.org; Wed, 10 Jan 2018 21:34:37 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:47999) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWd-00039t-Q0 for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:33 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWc-0008GZ-NQ for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:27 -0500 Received: from mail-pf0-x242.google.com ([2607:f8b0:400e:c00::242]:40829) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWc-0008Fw-I1 for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:26 -0500 Received: by mail-pf0-x242.google.com with SMTP id i66so16313pfd.7 for ; Wed, 10 Jan 2018 18:23:26 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.24 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:24 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=G2B/fJpOIfTTZtlhN0nNHaY9luzIQArUvbjqozDDCF4=; b=Uct/6eAVgb0RvVIZpxovvZxGmlBRAxuTIAxXYwFnSfhanzbplvlN4Y8o2+2vjGrDTH /qhsdgcN64V/Q0Ao2mAdMUJOYPvMkWzXxP9gkrXECSbQh1/AFKMHzOuGvdxt6n7aNc8b JXRc0eDNzoh8KdVOGgPTl8GR2Etwy9Je3NyOXu9VsdLPNl5PRUM2f5TWOBkhgtKrOGqW 1r+CEyIbtVA1kdHeeg+BdXCZ3BVnh9j+7wQTOvL1DnlK9Xfg0rD0Rd+Lgt2saphR/eGM imis718c897zEkgIw2NFgOS+DdsR9+AtJO8fTw8HAlrF0HDwhmx8DZTASiVo5caLeeQV Y6Ag== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=G2B/fJpOIfTTZtlhN0nNHaY9luzIQArUvbjqozDDCF4=; b=JQu6jStFTwjfsmW2Vf4ZlMGLPZsBr+4QKAV98gRxPNEsn7Pm4L2yVqE/kMG80AYBph H0gaq+cVcR2cJ3R94uNiPj3i2X1e+acx0lKwgF8PfEQ/DIhfeaCOGh1XnVJmSk0ygyjj bwJuBHFD9ns/0tpQJ4ZaAo086VT9oFjTN+Rc6UPKo58CuJFRd2aDuFd2dhmZNQHIptfW t96pjXhYufinQbnVo6mJPkJUk24MhLaLO/jHq1owrbo5eyiioMzonsPkk5ZhRqZVIkU1 Im51h66cWc/9sY1BoM41goETrrEGDcNZk8EP3Knj6SdPEVHeVxz3JBPUAqpIb6jm6ESD FmRw== X-Gm-Message-State: AKGB3mLMgsG6lzoysjoN+2S6y1WPaL72ghefoHoOf9ZASITFhoTtF9Wy qVvsHBMnVXSFl+FEJN6BKzT0jLIkffw= X-Google-Smtp-Source: ACJfBosXc3lK8q7iIa56JFl1DavYZ0iVlrdb1LVbBUKWes52JO5sEqBDnakQnCn/HudONtODuiGMwA== X-Received: by 10.84.150.130 with SMTP id h2mr20605080plh.116.1515637405215; Wed, 10 Jan 2018 18:23:25 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:50 -0800 Message-Id: <1515637324-96034-8-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c00::242 Subject: [Qemu-devel] [PATCH v3 07/21] RISC-V GDB Stub X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" GDB Register read and write routines. Signed-off-by: Michael Clark Reviewed-by: Richard Henderson --- target/riscv/gdbstub.c | 59 ++++++++++++++++++++++++++++++++++++++++++++++= ++++ 1 file changed, 59 insertions(+) create mode 100644 target/riscv/gdbstub.c diff --git a/target/riscv/gdbstub.c b/target/riscv/gdbstub.c new file mode 100644 index 0000000..12d1d9f --- /dev/null +++ b/target/riscv/gdbstub.c @@ -0,0 +1,59 @@ +/* + * RISC-V GDB Server Stub + * + * Author: Sagar Karandikar, sagark@eecs.berkeley.edu + * + * + * This library is free software; you can redistribute it and/or + * modify it under the terms of the GNU Lesser General Public + * License as published by the Free Software Foundation; either + * version 2 of the License, or (at your option) any later version. + * + * This library is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + * Lesser General Public License for more details. + * + * You should have received a copy of the GNU Lesser General Public + * License along with this library; if not, see . + */ + +#include "qemu/osdep.h" +#include "qemu-common.h" +#include "exec/gdbstub.h" +#include "cpu.h" + +int riscv_cpu_gdb_read_register(CPUState *cs, uint8_t *mem_buf, int n) +{ + /* TODO proper x0 handling */ + RISCVCPU *cpu =3D RISCV_CPU(cs); + CPURISCVState *env =3D &cpu->env; + + if (n < 32) { + return gdb_get_regl(mem_buf, env->gpr[n]); + } else if (n =3D=3D 32) { + return gdb_get_regl(mem_buf, env->pc); + } else if (n < 65) { + return gdb_get_reg64(mem_buf, env->fpr[n - 33]); + } + return 0; +} + +int riscv_cpu_gdb_write_register(CPUState *cs, uint8_t *mem_buf, int n) +{ + /* TODO proper x0 handling */ + RISCVCPU *cpu =3D RISCV_CPU(cs); + CPURISCVState *env =3D &cpu->env; + + if (n < 32) { + env->gpr[n] =3D ldtul_p(mem_buf); + return sizeof(target_ulong); + } else if (n =3D=3D 32) { + env->pc =3D ldtul_p(mem_buf); + return sizeof(target_ulong); + } else if (n < 65) { + env->fpr[n - 33] =3D ldq_p(mem_buf); /* always 64-bit */ + return sizeof(uint64_t); + } + return 0; +} --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515638724457154.01155941738807; Wed, 10 Jan 2018 18:45:24 -0800 (PST) Received: from localhost ([::1]:60862 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSrr-0003k5-Hc for importer@patchew.org; Wed, 10 Jan 2018 21:45:23 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48202) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWq-0003NC-My for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:51 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWg-0008Iv-9I for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:40 -0500 Received: from mail-pf0-x22d.google.com ([2607:f8b0:400e:c00::22d]:40264) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWf-0008IH-Q7 for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:30 -0500 Received: by mail-pf0-x22d.google.com with SMTP id i66so16427pfd.7 for ; Wed, 10 Jan 2018 18:23:29 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.25 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:26 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=y82pP2UX2lQDIhTwuEzm11mXEJyeBvsXATleC4LtDrs=; b=e1zyjQEgiHKn/pAidr3fMvdy6tbqMNBWh5san1LaL06Lp7f9Hy4ra8GIF/Wsha3LKa eJoyiGM8GlQeu2f3Zd51kL75W1F6i34bQ6OBT4t1+h/VCpHl2jrPO0qhFwJBLDXY+ue3 mu3nGjEPJCIO4Ef1gvkGHZKl/TJQLmOVSQSnQD0DgHxJ/LiGnDH8CxYQVhe1GqtE26ES fCY7uwdR2djiFfLr2BSxyf+Ih3wL2Kn7jqELIe7S0rvNEpSNPOdsnT/MgFJNY8pmE8S9 rFx7siNhCy63tNpMePtC4O5T1A8Wl/YJgPWerwXDZUz+FGG4/Dazp13dG3MhqsOx+wPI EIxw== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=y82pP2UX2lQDIhTwuEzm11mXEJyeBvsXATleC4LtDrs=; b=rgY5Og4X7s9lWppfrejSdrErzCbK+z1b/AqusO2FJVe5eQM2PQLkkj0G585Sryzspw exlWcYdV4Cm9ga3TsNDLRf+QWQIKsckL8tP6QterzCpr6GpAnSEr93YcMEj6lpg/amm+ XF3zKqb/TPBt63798DJ7PXPZ/mu5KRyd1escMfIAc/QB+xgkbFlhnlx9Ei4O3GUzyiPY y1gswDYsa1+rdElJrQoqtdF7BZxe/NkFmXyCi2RgRFjzZuX5LM6f5lLarVBasUyQ+1Bp 2lH3r6cif3UAx58mT+Hvbs6CR3PxqUjqRcZzxfgiEgCnXGxWUcv3iZGnIUc/0Wc4nsa4 ZOtQ== X-Gm-Message-State: AKwxytcpa5GgtO1OaspJB4wP4CR9aduwQzRt69q5TJtKJCW1RHmquB50 YSfjfVoGaTt4NEaom04eW8iM2NXEPUs= X-Google-Smtp-Source: ACJfBos7qO3SuvNrsSVELAULGHd+rz/s3KEoECF0cGxZ1BYybp/ln7aNwzu5X3vBfMAPay/APkG7gQ== X-Received: by 10.159.197.73 with SMTP id d9mr4247075plo.121.1515637407337; Wed, 10 Jan 2018 18:23:27 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:51 -0800 Message-Id: <1515637324-96034-9-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c00::22d Subject: [Qemu-devel] [PATCH v3 08/21] RISC-V TCG Code Generation X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" TCG code generation for the RV32IMAFDC and RV64IMAFDC. The QEMU RISC-V code generator has complete coverage for the Base ISA v2.2, Privileged ISA v1.9.1 and Privileged ISA v1.10: - RISC-V Instruction Set Manual Volume I: User-Level ISA Version 2.2 - RISC-V Instruction Set Manual Volume II: Privileged ISA Version 1.9.1 - RISC-V Instruction Set Manual Volume II: Privileged ISA Version 1.10 Signed-off-by: Michael Clark --- target/riscv/instmap.h | 377 +++++++++ target/riscv/translate.c | 1982 ++++++++++++++++++++++++++++++++++++++++++= ++++ 2 files changed, 2359 insertions(+) create mode 100644 target/riscv/instmap.h create mode 100644 target/riscv/translate.c diff --git a/target/riscv/instmap.h b/target/riscv/instmap.h new file mode 100644 index 0000000..5121f63 --- /dev/null +++ b/target/riscv/instmap.h @@ -0,0 +1,377 @@ +/* + * RISC-V emulation for qemu: Instruction decode helpers + * + * Author: Sagar Karandikar, sagark@eecs.berkeley.edu + * + * + * This library is free software; you can redistribute it and/or + * modify it under the terms of the GNU Lesser General Public + * License as published by the Free Software Foundation; either + * version 2 of the License, or (at your option) any later version. + * + * This library is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + * Lesser General Public License for more details. + * + * You should have received a copy of the GNU Lesser General Public + * License along with this library; if not, see . + */ + +#define MASK_OP_MAJOR(op) (op & 0x7F) +enum { + /* rv32i, rv64i, rv32m */ + OPC_RISC_LUI =3D (0x37), + OPC_RISC_AUIPC =3D (0x17), + OPC_RISC_JAL =3D (0x6F), + OPC_RISC_JALR =3D (0x67), + OPC_RISC_BRANCH =3D (0x63), + OPC_RISC_LOAD =3D (0x03), + OPC_RISC_STORE =3D (0x23), + OPC_RISC_ARITH_IMM =3D (0x13), + OPC_RISC_ARITH =3D (0x33), + OPC_RISC_FENCE =3D (0x0F), + OPC_RISC_SYSTEM =3D (0x73), + + /* rv64i, rv64m */ + OPC_RISC_ARITH_IMM_W =3D (0x1B), + OPC_RISC_ARITH_W =3D (0x3B), + + /* rv32a, rv64a */ + OPC_RISC_ATOMIC =3D (0x2F), + + /* floating point */ + OPC_RISC_FP_LOAD =3D (0x7), + OPC_RISC_FP_STORE =3D (0x27), + + OPC_RISC_FMADD =3D (0x43), + OPC_RISC_FMSUB =3D (0x47), + OPC_RISC_FNMSUB =3D (0x4B), + OPC_RISC_FNMADD =3D (0x4F), + + OPC_RISC_FP_ARITH =3D (0x53), +}; + +#define MASK_OP_ARITH(op) (MASK_OP_MAJOR(op) | (op & ((0x7 << 12) | \ + (0x7F << 25)))) +enum { + OPC_RISC_ADD =3D OPC_RISC_ARITH | (0x0 << 12) | (0x00 << 25), + OPC_RISC_SUB =3D OPC_RISC_ARITH | (0x0 << 12) | (0x20 << 25), + OPC_RISC_SLL =3D OPC_RISC_ARITH | (0x1 << 12) | (0x00 << 25), + OPC_RISC_SLT =3D OPC_RISC_ARITH | (0x2 << 12) | (0x00 << 25), + OPC_RISC_SLTU =3D OPC_RISC_ARITH | (0x3 << 12) | (0x00 << 25), + OPC_RISC_XOR =3D OPC_RISC_ARITH | (0x4 << 12) | (0x00 << 25), + OPC_RISC_SRL =3D OPC_RISC_ARITH | (0x5 << 12) | (0x00 << 25), + OPC_RISC_SRA =3D OPC_RISC_ARITH | (0x5 << 12) | (0x20 << 25), + OPC_RISC_OR =3D OPC_RISC_ARITH | (0x6 << 12) | (0x00 << 25), + OPC_RISC_AND =3D OPC_RISC_ARITH | (0x7 << 12) | (0x00 << 25), + + /* RV64M */ + OPC_RISC_MUL =3D OPC_RISC_ARITH | (0x0 << 12) | (0x01 << 25), + OPC_RISC_MULH =3D OPC_RISC_ARITH | (0x1 << 12) | (0x01 << 25), + OPC_RISC_MULHSU =3D OPC_RISC_ARITH | (0x2 << 12) | (0x01 << 25), + OPC_RISC_MULHU =3D OPC_RISC_ARITH | (0x3 << 12) | (0x01 << 25), + + OPC_RISC_DIV =3D OPC_RISC_ARITH | (0x4 << 12) | (0x01 << 25), + OPC_RISC_DIVU =3D OPC_RISC_ARITH | (0x5 << 12) | (0x01 << 25), + OPC_RISC_REM =3D OPC_RISC_ARITH | (0x6 << 12) | (0x01 << 25), + OPC_RISC_REMU =3D OPC_RISC_ARITH | (0x7 << 12) | (0x01 << 25), +}; + + +#define MASK_OP_ARITH_IMM(op) (MASK_OP_MAJOR(op) | (op & (0x7 << 12))) +enum { + OPC_RISC_ADDI =3D OPC_RISC_ARITH_IMM | (0x0 << 12), + OPC_RISC_SLTI =3D OPC_RISC_ARITH_IMM | (0x2 << 12), + OPC_RISC_SLTIU =3D OPC_RISC_ARITH_IMM | (0x3 << 12), + OPC_RISC_XORI =3D OPC_RISC_ARITH_IMM | (0x4 << 12), + OPC_RISC_ORI =3D OPC_RISC_ARITH_IMM | (0x6 << 12), + OPC_RISC_ANDI =3D OPC_RISC_ARITH_IMM | (0x7 << 12), + OPC_RISC_SLLI =3D OPC_RISC_ARITH_IMM | (0x1 << 12), /* additional pa= rt of + IMM */ + OPC_RISC_SHIFT_RIGHT_I =3D OPC_RISC_ARITH_IMM | (0x5 << 12) /* SRAI, S= RLI */ +}; + +#define MASK_OP_BRANCH(op) (MASK_OP_MAJOR(op) | (op & (0x7 << 12))) +enum { + OPC_RISC_BEQ =3D OPC_RISC_BRANCH | (0x0 << 12), + OPC_RISC_BNE =3D OPC_RISC_BRANCH | (0x1 << 12), + OPC_RISC_BLT =3D OPC_RISC_BRANCH | (0x4 << 12), + OPC_RISC_BGE =3D OPC_RISC_BRANCH | (0x5 << 12), + OPC_RISC_BLTU =3D OPC_RISC_BRANCH | (0x6 << 12), + OPC_RISC_BGEU =3D OPC_RISC_BRANCH | (0x7 << 12) +}; + +enum { + OPC_RISC_ADDIW =3D OPC_RISC_ARITH_IMM_W | (0x0 << 12), + OPC_RISC_SLLIW =3D OPC_RISC_ARITH_IMM_W | (0x1 << 12), /* additional= part of + IMM */ + OPC_RISC_SHIFT_RIGHT_IW =3D OPC_RISC_ARITH_IMM_W | (0x5 << 12) /* SRAI= , SRLI + */ +}; + +enum { + OPC_RISC_ADDW =3D OPC_RISC_ARITH_W | (0x0 << 12) | (0x00 << 25), + OPC_RISC_SUBW =3D OPC_RISC_ARITH_W | (0x0 << 12) | (0x20 << 25), + OPC_RISC_SLLW =3D OPC_RISC_ARITH_W | (0x1 << 12) | (0x00 << 25), + OPC_RISC_SRLW =3D OPC_RISC_ARITH_W | (0x5 << 12) | (0x00 << 25), + OPC_RISC_SRAW =3D OPC_RISC_ARITH_W | (0x5 << 12) | (0x20 << 25), + + /* RV64M */ + OPC_RISC_MULW =3D OPC_RISC_ARITH_W | (0x0 << 12) | (0x01 << 25), + OPC_RISC_DIVW =3D OPC_RISC_ARITH_W | (0x4 << 12) | (0x01 << 25), + OPC_RISC_DIVUW =3D OPC_RISC_ARITH_W | (0x5 << 12) | (0x01 << 25), + OPC_RISC_REMW =3D OPC_RISC_ARITH_W | (0x6 << 12) | (0x01 << 25), + OPC_RISC_REMUW =3D OPC_RISC_ARITH_W | (0x7 << 12) | (0x01 << 25), +}; + +#define MASK_OP_LOAD(op) (MASK_OP_MAJOR(op) | (op & (0x7 << 12))) +enum { + OPC_RISC_LB =3D OPC_RISC_LOAD | (0x0 << 12), + OPC_RISC_LH =3D OPC_RISC_LOAD | (0x1 << 12), + OPC_RISC_LW =3D OPC_RISC_LOAD | (0x2 << 12), + OPC_RISC_LD =3D OPC_RISC_LOAD | (0x3 << 12), + OPC_RISC_LBU =3D OPC_RISC_LOAD | (0x4 << 12), + OPC_RISC_LHU =3D OPC_RISC_LOAD | (0x5 << 12), + OPC_RISC_LWU =3D OPC_RISC_LOAD | (0x6 << 12), +}; + +#define MASK_OP_STORE(op) (MASK_OP_MAJOR(op) | (op & (0x7 << 12))) +enum { + OPC_RISC_SB =3D OPC_RISC_STORE | (0x0 << 12), + OPC_RISC_SH =3D OPC_RISC_STORE | (0x1 << 12), + OPC_RISC_SW =3D OPC_RISC_STORE | (0x2 << 12), + OPC_RISC_SD =3D OPC_RISC_STORE | (0x3 << 12), +}; + +#define MASK_OP_JALR(op) (MASK_OP_MAJOR(op) | (op & (0x7 << 12))) +/* no enum since OPC_RISC_JALR is the actual value */ + +#define MASK_OP_ATOMIC(op) (MASK_OP_MAJOR(op) | (op & ((0x7 << 12) \ + | (0x7F << 25)))) +#define MASK_OP_ATOMIC_NO_AQ_RL(op) (MASK_OP_MAJOR(op) | (op & ((0x7 << = 12)\ + | (0x1F << 27)))) +enum { + OPC_RISC_LR_W =3D OPC_RISC_ATOMIC | (0x2 << 12) | (0x02 << 27), + OPC_RISC_SC_W =3D OPC_RISC_ATOMIC | (0x2 << 12) | (0x03 << 27), + OPC_RISC_AMOSWAP_W =3D OPC_RISC_ATOMIC | (0x2 << 12) | (0x01 << 27), + OPC_RISC_AMOADD_W =3D OPC_RISC_ATOMIC | (0x2 << 12) | (0x00 << 27), + OPC_RISC_AMOXOR_W =3D OPC_RISC_ATOMIC | (0x2 << 12) | (0x04 << 27), + OPC_RISC_AMOAND_W =3D OPC_RISC_ATOMIC | (0x2 << 12) | (0x0C << 27), + OPC_RISC_AMOOR_W =3D OPC_RISC_ATOMIC | (0x2 << 12) | (0x08 << 27), + OPC_RISC_AMOMIN_W =3D OPC_RISC_ATOMIC | (0x2 << 12) | (0x10 << 27), + OPC_RISC_AMOMAX_W =3D OPC_RISC_ATOMIC | (0x2 << 12) | (0x14 << 27), + OPC_RISC_AMOMINU_W =3D OPC_RISC_ATOMIC | (0x2 << 12) | (0x18 << 27), + OPC_RISC_AMOMAXU_W =3D OPC_RISC_ATOMIC | (0x2 << 12) | (0x1C << 27), + + OPC_RISC_LR_D =3D OPC_RISC_ATOMIC | (0x3 << 12) | (0x02 << 27), + OPC_RISC_SC_D =3D OPC_RISC_ATOMIC | (0x3 << 12) | (0x03 << 27), + OPC_RISC_AMOSWAP_D =3D OPC_RISC_ATOMIC | (0x3 << 12) | (0x01 << 27), + OPC_RISC_AMOADD_D =3D OPC_RISC_ATOMIC | (0x3 << 12) | (0x00 << 27), + OPC_RISC_AMOXOR_D =3D OPC_RISC_ATOMIC | (0x3 << 12) | (0x04 << 27), + OPC_RISC_AMOAND_D =3D OPC_RISC_ATOMIC | (0x3 << 12) | (0x0C << 27), + OPC_RISC_AMOOR_D =3D OPC_RISC_ATOMIC | (0x3 << 12) | (0x08 << 27), + OPC_RISC_AMOMIN_D =3D OPC_RISC_ATOMIC | (0x3 << 12) | (0x10 << 27), + OPC_RISC_AMOMAX_D =3D OPC_RISC_ATOMIC | (0x3 << 12) | (0x14 << 27), + OPC_RISC_AMOMINU_D =3D OPC_RISC_ATOMIC | (0x3 << 12) | (0x18 << 27), + OPC_RISC_AMOMAXU_D =3D OPC_RISC_ATOMIC | (0x3 << 12) | (0x1C << 27), +}; + +#define MASK_OP_SYSTEM(op) (MASK_OP_MAJOR(op) | (op & (0x7 << 12))) +enum { + OPC_RISC_ECALL =3D OPC_RISC_SYSTEM | (0x0 << 12), + OPC_RISC_EBREAK =3D OPC_RISC_SYSTEM | (0x0 << 12), + OPC_RISC_ERET =3D OPC_RISC_SYSTEM | (0x0 << 12), + OPC_RISC_MRTS =3D OPC_RISC_SYSTEM | (0x0 << 12), + OPC_RISC_MRTH =3D OPC_RISC_SYSTEM | (0x0 << 12), + OPC_RISC_HRTS =3D OPC_RISC_SYSTEM | (0x0 << 12), + OPC_RISC_WFI =3D OPC_RISC_SYSTEM | (0x0 << 12), + OPC_RISC_SFENCEVM =3D OPC_RISC_SYSTEM | (0x0 << 12), + + OPC_RISC_CSRRW =3D OPC_RISC_SYSTEM | (0x1 << 12), + OPC_RISC_CSRRS =3D OPC_RISC_SYSTEM | (0x2 << 12), + OPC_RISC_CSRRC =3D OPC_RISC_SYSTEM | (0x3 << 12), + OPC_RISC_CSRRWI =3D OPC_RISC_SYSTEM | (0x5 << 12), + OPC_RISC_CSRRSI =3D OPC_RISC_SYSTEM | (0x6 << 12), + OPC_RISC_CSRRCI =3D OPC_RISC_SYSTEM | (0x7 << 12), +}; + +#define MASK_OP_FP_LOAD(op) (MASK_OP_MAJOR(op) | (op & (0x7 << 12))) +enum { + OPC_RISC_FLW =3D OPC_RISC_FP_LOAD | (0x2 << 12), + OPC_RISC_FLD =3D OPC_RISC_FP_LOAD | (0x3 << 12), +}; + +#define MASK_OP_FP_STORE(op) (MASK_OP_MAJOR(op) | (op & (0x7 << 12))) +enum { + OPC_RISC_FSW =3D OPC_RISC_FP_STORE | (0x2 << 12), + OPC_RISC_FSD =3D OPC_RISC_FP_STORE | (0x3 << 12), +}; + +#define MASK_OP_FP_FMADD(op) (MASK_OP_MAJOR(op) | (op & (0x3 << 25))) +enum { + OPC_RISC_FMADD_S =3D OPC_RISC_FMADD | (0x0 << 25), + OPC_RISC_FMADD_D =3D OPC_RISC_FMADD | (0x1 << 25), +}; + +#define MASK_OP_FP_FMSUB(op) (MASK_OP_MAJOR(op) | (op & (0x3 << 25))) +enum { + OPC_RISC_FMSUB_S =3D OPC_RISC_FMSUB | (0x0 << 25), + OPC_RISC_FMSUB_D =3D OPC_RISC_FMSUB | (0x1 << 25), +}; + +#define MASK_OP_FP_FNMADD(op) (MASK_OP_MAJOR(op) | (op & (0x3 << 25))) +enum { + OPC_RISC_FNMADD_S =3D OPC_RISC_FNMADD | (0x0 << 25), + OPC_RISC_FNMADD_D =3D OPC_RISC_FNMADD | (0x1 << 25), +}; + +#define MASK_OP_FP_FNMSUB(op) (MASK_OP_MAJOR(op) | (op & (0x3 << 25))) +enum { + OPC_RISC_FNMSUB_S =3D OPC_RISC_FNMSUB | (0x0 << 25), + OPC_RISC_FNMSUB_D =3D OPC_RISC_FNMSUB | (0x1 << 25), +}; + +#define MASK_OP_FP_ARITH(op) (MASK_OP_MAJOR(op) | (op & (0x7F << 25))) +enum { + /* float */ + OPC_RISC_FADD_S =3D OPC_RISC_FP_ARITH | (0x0 << 25), + OPC_RISC_FSUB_S =3D OPC_RISC_FP_ARITH | (0x4 << 25), + OPC_RISC_FMUL_S =3D OPC_RISC_FP_ARITH | (0x8 << 25), + OPC_RISC_FDIV_S =3D OPC_RISC_FP_ARITH | (0xC << 25), + + OPC_RISC_FSGNJ_S =3D OPC_RISC_FP_ARITH | (0x10 << 25), + OPC_RISC_FSGNJN_S =3D OPC_RISC_FP_ARITH | (0x10 << 25), + OPC_RISC_FSGNJX_S =3D OPC_RISC_FP_ARITH | (0x10 << 25), + + OPC_RISC_FMIN_S =3D OPC_RISC_FP_ARITH | (0x14 << 25), + OPC_RISC_FMAX_S =3D OPC_RISC_FP_ARITH | (0x14 << 25), + + OPC_RISC_FSQRT_S =3D OPC_RISC_FP_ARITH | (0x2C << 25), + + OPC_RISC_FEQ_S =3D OPC_RISC_FP_ARITH | (0x50 << 25), + OPC_RISC_FLT_S =3D OPC_RISC_FP_ARITH | (0x50 << 25), + OPC_RISC_FLE_S =3D OPC_RISC_FP_ARITH | (0x50 << 25), + + OPC_RISC_FCVT_W_S =3D OPC_RISC_FP_ARITH | (0x60 << 25), + OPC_RISC_FCVT_WU_S =3D OPC_RISC_FP_ARITH | (0x60 << 25), + OPC_RISC_FCVT_L_S =3D OPC_RISC_FP_ARITH | (0x60 << 25), + OPC_RISC_FCVT_LU_S =3D OPC_RISC_FP_ARITH | (0x60 << 25), + + OPC_RISC_FCVT_S_W =3D OPC_RISC_FP_ARITH | (0x68 << 25), + OPC_RISC_FCVT_S_WU =3D OPC_RISC_FP_ARITH | (0x68 << 25), + OPC_RISC_FCVT_S_L =3D OPC_RISC_FP_ARITH | (0x68 << 25), + OPC_RISC_FCVT_S_LU =3D OPC_RISC_FP_ARITH | (0x68 << 25), + + OPC_RISC_FMV_X_S =3D OPC_RISC_FP_ARITH | (0x70 << 25), + OPC_RISC_FCLASS_S =3D OPC_RISC_FP_ARITH | (0x70 << 25), + + OPC_RISC_FMV_S_X =3D OPC_RISC_FP_ARITH | (0x78 << 25), + + /* double */ + OPC_RISC_FADD_D =3D OPC_RISC_FP_ARITH | (0x1 << 25), + OPC_RISC_FSUB_D =3D OPC_RISC_FP_ARITH | (0x5 << 25), + OPC_RISC_FMUL_D =3D OPC_RISC_FP_ARITH | (0x9 << 25), + OPC_RISC_FDIV_D =3D OPC_RISC_FP_ARITH | (0xD << 25), + + OPC_RISC_FSGNJ_D =3D OPC_RISC_FP_ARITH | (0x11 << 25), + OPC_RISC_FSGNJN_D =3D OPC_RISC_FP_ARITH | (0x11 << 25), + OPC_RISC_FSGNJX_D =3D OPC_RISC_FP_ARITH | (0x11 << 25), + + OPC_RISC_FMIN_D =3D OPC_RISC_FP_ARITH | (0x15 << 25), + OPC_RISC_FMAX_D =3D OPC_RISC_FP_ARITH | (0x15 << 25), + + OPC_RISC_FCVT_S_D =3D OPC_RISC_FP_ARITH | (0x20 << 25), + + OPC_RISC_FCVT_D_S =3D OPC_RISC_FP_ARITH | (0x21 << 25), + + OPC_RISC_FSQRT_D =3D OPC_RISC_FP_ARITH | (0x2D << 25), + + OPC_RISC_FEQ_D =3D OPC_RISC_FP_ARITH | (0x51 << 25), + OPC_RISC_FLT_D =3D OPC_RISC_FP_ARITH | (0x51 << 25), + OPC_RISC_FLE_D =3D OPC_RISC_FP_ARITH | (0x51 << 25), + + OPC_RISC_FCVT_W_D =3D OPC_RISC_FP_ARITH | (0x61 << 25), + OPC_RISC_FCVT_WU_D =3D OPC_RISC_FP_ARITH | (0x61 << 25), + OPC_RISC_FCVT_L_D =3D OPC_RISC_FP_ARITH | (0x61 << 25), + OPC_RISC_FCVT_LU_D =3D OPC_RISC_FP_ARITH | (0x61 << 25), + + OPC_RISC_FCVT_D_W =3D OPC_RISC_FP_ARITH | (0x69 << 25), + OPC_RISC_FCVT_D_WU =3D OPC_RISC_FP_ARITH | (0x69 << 25), + OPC_RISC_FCVT_D_L =3D OPC_RISC_FP_ARITH | (0x69 << 25), + OPC_RISC_FCVT_D_LU =3D OPC_RISC_FP_ARITH | (0x69 << 25), + + OPC_RISC_FMV_X_D =3D OPC_RISC_FP_ARITH | (0x71 << 25), + OPC_RISC_FCLASS_D =3D OPC_RISC_FP_ARITH | (0x71 << 25), + + OPC_RISC_FMV_D_X =3D OPC_RISC_FP_ARITH | (0x79 << 25), +}; + +#define GET_B_IMM(inst) ((extract32(inst, 8, 4) << 1) \ + | (extract32(inst, 25, 6) << 5) \ + | (extract32(inst, 7, 1) << 11) \ + | (sextract64(inst, 31, 1) << 12)) + +#define GET_STORE_IMM(inst) ((extract32(inst, 7, 5)) \ + | (sextract64(inst, 25, 7) << 5)) + +#define GET_JAL_IMM(inst) ((extract32(inst, 21, 10) << 1) \ + | (extract32(inst, 20, 1) << 11) \ + | (extract32(inst, 12, 8) << 12) \ + | (sextract64(inst, 31, 1) << 20)) + +#define GET_RM(inst) extract32(inst, 12, 3) +#define GET_RS3(inst) extract32(inst, 27, 5) +#define GET_RS1(inst) extract32(inst, 15, 5) +#define GET_RS2(inst) extract32(inst, 20, 5) +#define GET_RD(inst) extract32(inst, 7, 5) +#define GET_IMM(inst) sextract64(inst, 20, 12) + +/* RVC decoding macros */ +#define GET_C_IMM(inst) (extract32(inst, 2, 5) \ + | (sextract64(inst, 12, 1) << 5)) +#define GET_C_ZIMM(inst) (extract32(inst, 2, 5) \ + | (extract32(inst, 12, 1) << 5)) +#define GET_C_ADDI4SPN_IMM(inst) ((extract32(inst, 6, 1) << 2) \ + | (extract32(inst, 5, 1) << 3) \ + | (extract32(inst, 11, 2) << 4) \ + | (extract32(inst, 7, 4) << 6)) +#define GET_C_ADDI16SP_IMM(inst) ((extract32(inst, 6, 1) << 4) \ + | (extract32(inst, 2, 1) << 5) \ + | (extract32(inst, 5, 1) << 6) \ + | (extract32(inst, 3, 2) << 7) \ + | (sextract64(inst, 12, 1) << 9)) +#define GET_C_LWSP_IMM(inst) ((extract32(inst, 4, 3) << 2) \ + | (extract32(inst, 12, 1) << 5) \ + | (extract32(inst, 2, 2) << 6)) +#define GET_C_LDSP_IMM(inst) ((extract32(inst, 5, 2) << 3) \ + | (extract32(inst, 12, 1) << 5) \ + | (extract32(inst, 2, 3) << 6)) +#define GET_C_SWSP_IMM(inst) ((extract32(inst, 9, 4) << 2) \ + | (extract32(inst, 7, 2) << 6)) +#define GET_C_SDSP_IMM(inst) ((extract32(inst, 10, 3) << 3) \ + | (extract32(inst, 7, 3) << 6)) +#define GET_C_LW_IMM(inst) ((extract32(inst, 6, 1) << 2) \ + | (extract32(inst, 10, 3) << 3) \ + | (extract32(inst, 5, 1) << 6)) +#define GET_C_LD_IMM(inst) ((extract32(inst, 10, 3) << 3) \ + | (extract32(inst, 5, 2) << 6)) +#define GET_C_J_IMM(inst) ((extract32(inst, 3, 3) << 1) \ + | (extract32(inst, 11, 1) << 4) \ + | (extract32(inst, 2, 1) << 5) \ + | (extract32(inst, 7, 1) << 6) \ + | (extract32(inst, 6, 1) << 7) \ + | (extract32(inst, 9, 2) << 8) \ + | (extract32(inst, 8, 1) << 10) \ + | (sextract64(inst, 12, 1) << 11)) +#define GET_C_B_IMM(inst) ((extract32(inst, 3, 2) << 1) \ + | (extract32(inst, 10, 2) << 3) \ + | (extract32(inst, 2, 1) << 5) \ + | (extract32(inst, 5, 2) << 6) \ + | (sextract64(inst, 12, 1) << 8)) +#define GET_C_SIMM3(inst) extract32(inst, 10, 3) +#define GET_C_RD(inst) GET_RD(inst) +#define GET_C_RS1(inst) GET_RD(inst) +#define GET_C_RS2(inst) extract32(inst, 2, 5) +#define GET_C_RS1S(inst) (8 + extract32(inst, 7, 3)) +#define GET_C_RS2S(inst) (8 + extract32(inst, 2, 3)) diff --git a/target/riscv/translate.c b/target/riscv/translate.c new file mode 100644 index 0000000..7a1b485 --- /dev/null +++ b/target/riscv/translate.c @@ -0,0 +1,1982 @@ +/* + * RISC-V emulation for qemu: main translation routines. + * + * Author: Sagar Karandikar, sagark@eecs.berkeley.edu + * + * + * This library is free software; you can redistribute it and/or + * modify it under the terms of the GNU Lesser General Public + * License as published by the Free Software Foundation; either + * version 2 of the License, or (at your option) any later version. + * + * This library is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + * Lesser General Public License for more details. + * + * You should have received a copy of the GNU Lesser General Public + * License along with this library; if not, see . + */ + +#include "qemu/osdep.h" +#include "qemu/log.h" +#include "cpu.h" +#include "tcg-op.h" +#include "disas/disas.h" +#include "exec/cpu_ldst.h" +#include "exec/exec-all.h" +#include "exec/helper-proto.h" +#include "exec/helper-gen.h" + +#include "exec/log.h" + +#include "instmap.h" + +/* global register indices */ +static TCGv cpu_gpr[32], cpu_pc; +static TCGv_i64 cpu_fpr[32]; /* assume F and D extensions */ +static TCGv load_res; +#ifdef CONFIG_USER_ONLY +static TCGv_i32 cpu_amoinsn; +#endif + +#include "exec/gen-icount.h" + +typedef struct DisasContext { + struct TranslationBlock *tb; + target_ulong pc; + target_ulong next_pc; + uint32_t opcode; + int singlestep_enabled; + int mem_idx; + int bstate; +} DisasContext; + +static inline void kill_unknown(DisasContext *ctx, int excp); + +enum { + BS_NONE =3D 0, /* When seen outside of translation while loop, ind= icates + need to exit tb due to end of page. */ + BS_STOP =3D 1, /* Need to exit tb for syscall, sret, etc. */ + BS_BRANCH =3D 2, /* Need to exit tb for branch, jal, etc. */ +}; + +/* convert riscv funct3 to qemu memop for load/store */ +static const int tcg_memop_lookup[8] =3D { + [0 ... 7] =3D -1, + [0] =3D MO_SB, + [1] =3D MO_TESW, + [2] =3D MO_TESL, + [4] =3D MO_UB, + [5] =3D MO_TEUW, +#ifdef TARGET_RISCV64 + [3] =3D MO_TEQ, + [6] =3D MO_TEUL, +#endif +}; + +#ifdef TARGET_RISCV64 +#define CASE_OP_32_64(X) case X: case glue(X, W) +#else +#define CASE_OP_32_64(X) case X +#endif + +static inline void generate_exception(DisasContext *ctx, int excp) +{ + tcg_gen_movi_tl(cpu_pc, ctx->pc); + TCGv_i32 helper_tmp =3D tcg_const_i32(excp); + gen_helper_raise_exception(cpu_env, helper_tmp); + tcg_temp_free_i32(helper_tmp); +} + +static inline void generate_exception_mbadaddr(DisasContext *ctx, int excp) +{ + tcg_gen_movi_tl(cpu_pc, ctx->pc); + TCGv_i32 helper_tmp =3D tcg_const_i32(excp); + gen_helper_raise_exception_mbadaddr(cpu_env, helper_tmp, cpu_pc); + tcg_temp_free_i32(helper_tmp); +} + +/* unknown instruction */ +static inline void kill_unknown(DisasContext *ctx, int excp) +{ + generate_exception(ctx, excp); + ctx->bstate =3D BS_STOP; +} + +static inline bool use_goto_tb(DisasContext *ctx, target_ulong dest) +{ + if (unlikely(ctx->singlestep_enabled)) { + return false; + } + +#ifndef CONFIG_USER_ONLY + return (ctx->tb->pc & TARGET_PAGE_MASK) =3D=3D (dest & TARGET_PAGE_MAS= K); +#else + return true; +#endif +} + +static inline void gen_goto_tb(DisasContext *ctx, int n, target_ulong dest) +{ + if (use_goto_tb(ctx, dest)) { + /* chaining is only allowed when the jump is to the same page */ + tcg_gen_goto_tb(n); + tcg_gen_movi_tl(cpu_pc, dest); + tcg_gen_exit_tb((uintptr_t)ctx->tb + n); + } else { + tcg_gen_movi_tl(cpu_pc, dest); + if (ctx->singlestep_enabled) { + gen_helper_raise_exception_debug(cpu_env); + } + tcg_gen_exit_tb(0); + } +} + +/* Wrapper for getting reg values - need to check of reg is zero since + * cpu_gpr[0] is not actually allocated + */ +static inline void gen_get_gpr(TCGv t, int reg_num) +{ + if (reg_num =3D=3D 0) { + tcg_gen_movi_tl(t, 0); + } else { + tcg_gen_mov_tl(t, cpu_gpr[reg_num]); + } +} + +/* Wrapper for setting reg values - need to check of reg is zero since + * cpu_gpr[0] is not actually allocated. this is more for safety purposes, + * since we usually avoid calling the OP_TYPE_gen function if we see a wri= te to + * $zero + */ +static inline void gen_set_gpr(int reg_num_dst, TCGv t) +{ + if (reg_num_dst !=3D 0) { + tcg_gen_mov_tl(cpu_gpr[reg_num_dst], t); + } +} + +static void gen_mulhsu(TCGv ret, TCGv arg1, TCGv arg2) +{ + TCGv rl =3D tcg_temp_new(); + TCGv rh =3D tcg_temp_new(); + + tcg_gen_mulu2_tl(rl, rh, arg1, arg2); + /* fix up for one negative */ + tcg_gen_sari_tl(rl, arg1, TARGET_LONG_BITS - 1); + tcg_gen_and_tl(rl, rl, arg2); + tcg_gen_sub_tl(ret, rh, rl); + + tcg_temp_free(rl); + tcg_temp_free(rh); +} + +static void gen_fsgnj(DisasContext *ctx, uint32_t rd, uint32_t rs1, + uint32_t rs2, int rm, uint64_t min) +{ + TCGv t0 =3D tcg_temp_new(); +#if !defined(CONFIG_USER_ONLY) + TCGLabel *fp_ok =3D gen_new_label(); + TCGLabel *done =3D gen_new_label(); + + /* check MSTATUS.FS */ + tcg_gen_ld_tl(t0, cpu_env, offsetof(CPURISCVState, mstatus)); + tcg_gen_andi_tl(t0, t0, MSTATUS_FS); + tcg_gen_brcondi_tl(TCG_COND_NE, t0, 0x0, fp_ok); + /* MSTATUS_FS field was zero */ + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + tcg_gen_br(done); + + /* proceed with operation */ + gen_set_label(fp_ok); +#endif + TCGv_i64 src1 =3D tcg_temp_new_i64(); + TCGv_i64 src2 =3D tcg_temp_new_i64(); + + tcg_gen_mov_i64(src1, cpu_fpr[rs1]); + tcg_gen_mov_i64(src2, cpu_fpr[rs2]); + + switch (rm) { + case 0: /* fsgnj */ + + if (rs1 =3D=3D rs2) { /* FMOV */ + tcg_gen_mov_i64(cpu_fpr[rd], src1); + } + + tcg_gen_andi_i64(src1, src1, ~min); + tcg_gen_andi_i64(src2, src2, min); + tcg_gen_or_i64(cpu_fpr[rd], src1, src2); + break; + case 1: /* fsgnjn */ + tcg_gen_andi_i64(src1, src1, ~min); + tcg_gen_not_i64(src2, src2); + tcg_gen_andi_i64(src2, src2, min); + tcg_gen_or_i64(cpu_fpr[rd], src1, src2); + break; + case 2: /* fsgnjx */ + tcg_gen_andi_i64(src2, src2, min); + tcg_gen_xor_i64(cpu_fpr[rd], src1, src2); + break; + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + tcg_temp_free_i64(src1); + tcg_temp_free_i64(src2); +#if !defined(CONFIG_USER_ONLY) + gen_set_label(done); +#endif + tcg_temp_free(t0); +} + +static void gen_arith(DisasContext *ctx, uint32_t opc, int rd, int rs1, + int rs2) +{ + TCGv source1, source2, cond1, cond2, zeroreg, resultopt1; + source1 =3D tcg_temp_new(); + source2 =3D tcg_temp_new(); + gen_get_gpr(source1, rs1); + gen_get_gpr(source2, rs2); + + switch (opc) { + CASE_OP_32_64(OPC_RISC_ADD): + tcg_gen_add_tl(source1, source1, source2); + break; + CASE_OP_32_64(OPC_RISC_SUB): + tcg_gen_sub_tl(source1, source1, source2); + break; +#if defined(TARGET_RISCV64) + case OPC_RISC_SLLW: + tcg_gen_andi_tl(source2, source2, 0x1F); + tcg_gen_shl_tl(source1, source1, source2); + break; +#endif + case OPC_RISC_SLL: + tcg_gen_andi_tl(source2, source2, TARGET_LONG_BITS - 1); + tcg_gen_shl_tl(source1, source1, source2); + break; + case OPC_RISC_SLT: + tcg_gen_setcond_tl(TCG_COND_LT, source1, source1, source2); + break; + case OPC_RISC_SLTU: + tcg_gen_setcond_tl(TCG_COND_LTU, source1, source1, source2); + break; + case OPC_RISC_XOR: + tcg_gen_xor_tl(source1, source1, source2); + break; +#if defined(TARGET_RISCV64) + case OPC_RISC_SRLW: + /* clear upper 32 */ + tcg_gen_ext32u_tl(source1, source1); + tcg_gen_andi_tl(source2, source2, 0x1F); + tcg_gen_shr_tl(source1, source1, source2); + break; +#endif + case OPC_RISC_SRL: + tcg_gen_andi_tl(source2, source2, TARGET_LONG_BITS - 1); + tcg_gen_shr_tl(source1, source1, source2); + break; +#if defined(TARGET_RISCV64) + case OPC_RISC_SRAW: + /* first, trick to get it to act like working on 32 bits (get rid = of + upper 32, sign extend to fill space) */ + tcg_gen_ext32s_tl(source1, source1); + tcg_gen_andi_tl(source2, source2, 0x1F); + tcg_gen_sar_tl(source1, source1, source2); + break; + /* fall through to SRA */ +#endif + case OPC_RISC_SRA: + tcg_gen_andi_tl(source2, source2, TARGET_LONG_BITS - 1); + tcg_gen_sar_tl(source1, source1, source2); + break; + case OPC_RISC_OR: + tcg_gen_or_tl(source1, source1, source2); + break; + case OPC_RISC_AND: + tcg_gen_and_tl(source1, source1, source2); + break; + CASE_OP_32_64(OPC_RISC_MUL): + tcg_gen_mul_tl(source1, source1, source2); + break; + case OPC_RISC_MULH: + tcg_gen_muls2_tl(source2, source1, source1, source2); + break; + case OPC_RISC_MULHSU: + gen_mulhsu(source1, source1, source2); + break; + case OPC_RISC_MULHU: + tcg_gen_mulu2_tl(source2, source1, source1, source2); + break; +#if defined(TARGET_RISCV64) + case OPC_RISC_DIVW: + tcg_gen_ext32s_tl(source1, source1); + tcg_gen_ext32s_tl(source2, source2); + /* fall through to DIV */ +#endif + case OPC_RISC_DIV: + /* Handle by altering args to tcg_gen_div to produce req'd results: + * For overflow: want source1 in source1 and 1 in source2 + * For div by zero: want -1 in source1 and 1 in source2 -> -1 resu= lt */ + cond1 =3D tcg_temp_new(); + cond2 =3D tcg_temp_new(); + zeroreg =3D tcg_const_tl(0); + resultopt1 =3D tcg_temp_new(); + + tcg_gen_movi_tl(resultopt1, (target_ulong)-1); + tcg_gen_setcondi_tl(TCG_COND_EQ, cond2, source2, (target_ulong)(~0= L)); + tcg_gen_setcondi_tl(TCG_COND_EQ, cond1, source1, + ((target_ulong)1) << (TARGET_LONG_BITS - 1)); + tcg_gen_and_tl(cond1, cond1, cond2); /* cond1 =3D overflow */ + tcg_gen_setcondi_tl(TCG_COND_EQ, cond2, source2, 0); /* cond2 =3D = div 0 */ + /* if div by zero, set source1 to -1, otherwise don't change */ + tcg_gen_movcond_tl(TCG_COND_EQ, source1, cond2, zeroreg, source1, + resultopt1); + /* if overflow or div by zero, set source2 to 1, else don't change= */ + tcg_gen_or_tl(cond1, cond1, cond2); + tcg_gen_movi_tl(resultopt1, (target_ulong)1); + tcg_gen_movcond_tl(TCG_COND_EQ, source2, cond1, zeroreg, source2, + resultopt1); + tcg_gen_div_tl(source1, source1, source2); + + tcg_temp_free(cond1); + tcg_temp_free(cond2); + tcg_temp_free(zeroreg); + tcg_temp_free(resultopt1); + break; +#if defined(TARGET_RISCV64) + case OPC_RISC_DIVUW: + tcg_gen_ext32u_tl(source1, source1); + tcg_gen_ext32u_tl(source2, source2); + /* fall through to DIVU */ +#endif + case OPC_RISC_DIVU: + cond1 =3D tcg_temp_new(); + zeroreg =3D tcg_const_tl(0); + resultopt1 =3D tcg_temp_new(); + + tcg_gen_setcondi_tl(TCG_COND_EQ, cond1, source2, 0); + tcg_gen_movi_tl(resultopt1, (target_ulong)-1); + tcg_gen_movcond_tl(TCG_COND_EQ, source1, cond1, zeroreg, source1, + resultopt1); + tcg_gen_movi_tl(resultopt1, (target_ulong)1); + tcg_gen_movcond_tl(TCG_COND_EQ, source2, cond1, zeroreg, source2, + resultopt1); + tcg_gen_divu_tl(source1, source1, source2); + + tcg_temp_free(cond1); + tcg_temp_free(zeroreg); + tcg_temp_free(resultopt1); + break; +#if defined(TARGET_RISCV64) + case OPC_RISC_REMW: + tcg_gen_ext32s_tl(source1, source1); + tcg_gen_ext32s_tl(source2, source2); + /* fall through to REM */ +#endif + case OPC_RISC_REM: + cond1 =3D tcg_temp_new(); + cond2 =3D tcg_temp_new(); + zeroreg =3D tcg_const_tl(0); + resultopt1 =3D tcg_temp_new(); + + tcg_gen_movi_tl(resultopt1, 1L); + tcg_gen_setcondi_tl(TCG_COND_EQ, cond2, source2, (target_ulong)-1); + tcg_gen_setcondi_tl(TCG_COND_EQ, cond1, source1, + (target_ulong)1 << (TARGET_LONG_BITS - 1)); + tcg_gen_and_tl(cond2, cond1, cond2); /* cond1 =3D overflow */ + tcg_gen_setcondi_tl(TCG_COND_EQ, cond1, source2, 0); /* cond2 =3D = div 0 */ + /* if overflow or div by zero, set source2 to 1, else don't change= */ + tcg_gen_or_tl(cond2, cond1, cond2); + tcg_gen_movcond_tl(TCG_COND_EQ, source2, cond2, zeroreg, source2, + resultopt1); + tcg_gen_rem_tl(resultopt1, source1, source2); + /* if div by zero, just return the original dividend */ + tcg_gen_movcond_tl(TCG_COND_EQ, source1, cond1, zeroreg, resultopt= 1, + source1); + + tcg_temp_free(cond1); + tcg_temp_free(cond2); + tcg_temp_free(zeroreg); + tcg_temp_free(resultopt1); + break; +#if defined(TARGET_RISCV64) + case OPC_RISC_REMUW: + tcg_gen_ext32u_tl(source1, source1); + tcg_gen_ext32u_tl(source2, source2); + /* fall through to REMU */ +#endif + case OPC_RISC_REMU: + cond1 =3D tcg_temp_new(); + zeroreg =3D tcg_const_tl(0); + resultopt1 =3D tcg_temp_new(); + + tcg_gen_movi_tl(resultopt1, (target_ulong)1); + tcg_gen_setcondi_tl(TCG_COND_EQ, cond1, source2, 0); + tcg_gen_movcond_tl(TCG_COND_EQ, source2, cond1, zeroreg, source2, + resultopt1); + tcg_gen_remu_tl(resultopt1, source1, source2); + /* if div by zero, just return the original dividend */ + tcg_gen_movcond_tl(TCG_COND_EQ, source1, cond1, zeroreg, resultopt= 1, + source1); + + tcg_temp_free(cond1); + tcg_temp_free(zeroreg); + tcg_temp_free(resultopt1); + break; + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } + + if (opc & 0x8) { /* sign extend for W instructions */ + tcg_gen_ext32s_tl(source1, source1); + } + + gen_set_gpr(rd, source1); + tcg_temp_free(source1); + tcg_temp_free(source2); +} + +static void gen_arith_imm(DisasContext *ctx, uint32_t opc, int rd, + int rs1, target_long imm) +{ + TCGv source1; + source1 =3D tcg_temp_new(); + gen_get_gpr(source1, rs1); + target_long extra_shamt =3D 0; + + switch (opc) { + case OPC_RISC_ADDI: +#if defined(TARGET_RISCV64) + case OPC_RISC_ADDIW: +#endif + tcg_gen_addi_tl(source1, source1, imm); + break; + case OPC_RISC_SLTI: + tcg_gen_setcondi_tl(TCG_COND_LT, source1, source1, imm); + break; + case OPC_RISC_SLTIU: + tcg_gen_setcondi_tl(TCG_COND_LTU, source1, source1, imm); + break; + case OPC_RISC_XORI: + tcg_gen_xori_tl(source1, source1, imm); + break; + case OPC_RISC_ORI: + tcg_gen_ori_tl(source1, source1, imm); + break; + case OPC_RISC_ANDI: + tcg_gen_andi_tl(source1, source1, imm); + break; +#if defined(TARGET_RISCV64) + case OPC_RISC_SLLIW: + if ((imm >=3D 32)) { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } + /* fall through to SLLI */ +#endif + case OPC_RISC_SLLI: + if (imm < TARGET_LONG_BITS) { + tcg_gen_shli_tl(source1, source1, imm); + } else { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + break; +#if defined(TARGET_RISCV64) + case OPC_RISC_SHIFT_RIGHT_IW: + if ((imm & 0x3ff) >=3D 32) { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + tcg_gen_shli_tl(source1, source1, 32); + extra_shamt =3D 32; + /* fall through to SHIFT_RIGHT_I */ +#endif + case OPC_RISC_SHIFT_RIGHT_I: + /* differentiate on IMM */ + if ((imm & 0x3ff) < TARGET_LONG_BITS) { + if (imm & 0x400) { + /* SRAI[W] */ + tcg_gen_sari_tl(source1, source1, (imm ^ 0x400) + extra_sh= amt); + } else { + /* SRLI[W] */ + tcg_gen_shri_tl(source1, source1, imm + extra_shamt); + } + } else { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + break; + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } + + if (opc & 0x8) { /* sign-extend for W instructions */ + tcg_gen_ext32s_tl(source1, source1); + } + + gen_set_gpr(rd, source1); + tcg_temp_free(source1); +} + +static void gen_jal(CPURISCVState *env, DisasContext *ctx, int rd, + target_ulong imm) +{ + target_ulong next_pc; + + /* check misaligned: */ + next_pc =3D ctx->pc + imm; + if (!riscv_has_ext(env, RVC)) { + if ((next_pc & 0x3) !=3D 0) { + generate_exception_mbadaddr(ctx, RISCV_EXCP_INST_ADDR_MIS); + } + } + if (rd !=3D 0) { + tcg_gen_movi_tl(cpu_gpr[rd], ctx->next_pc); + } + + gen_goto_tb(ctx, 0, ctx->pc + imm); /* must use this for safety */ + ctx->bstate =3D BS_BRANCH; + +} + +static void gen_jalr(CPURISCVState *env, DisasContext *ctx, uint32_t opc, + int rd, int rs1, target_long imm) +{ + /* no chaining with JALR */ + TCGLabel *misaligned =3D gen_new_label(); + TCGv t0; + t0 =3D tcg_temp_new(); + + switch (opc) { + case OPC_RISC_JALR: + gen_get_gpr(cpu_pc, rs1); + tcg_gen_addi_tl(cpu_pc, cpu_pc, imm); + tcg_gen_andi_tl(cpu_pc, cpu_pc, (target_ulong)-2); + + if (!riscv_has_ext(env, RVC)) { + tcg_gen_andi_tl(t0, cpu_pc, 0x2); + tcg_gen_brcondi_tl(TCG_COND_NE, t0, 0x0, misaligned); + } + + if (rd !=3D 0) { + tcg_gen_movi_tl(cpu_gpr[rd], ctx->next_pc); + } + tcg_gen_exit_tb(0); + + gen_set_label(misaligned); + generate_exception_mbadaddr(ctx, RISCV_EXCP_INST_ADDR_MIS); + tcg_gen_exit_tb(0); + ctx->bstate =3D BS_BRANCH; + break; + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } + tcg_temp_free(t0); +} + +static void gen_branch(CPURISCVState *env, DisasContext *ctx, uint32_t opc, + int rs1, int rs2, target_long bimm) +{ + TCGLabel *l =3D gen_new_label(); + TCGv source1, source2; + source1 =3D tcg_temp_new(); + source2 =3D tcg_temp_new(); + gen_get_gpr(source1, rs1); + gen_get_gpr(source2, rs2); + + switch (opc) { + case OPC_RISC_BEQ: + tcg_gen_brcond_tl(TCG_COND_EQ, source1, source2, l); + break; + case OPC_RISC_BNE: + tcg_gen_brcond_tl(TCG_COND_NE, source1, source2, l); + break; + case OPC_RISC_BLT: + tcg_gen_brcond_tl(TCG_COND_LT, source1, source2, l); + break; + case OPC_RISC_BGE: + tcg_gen_brcond_tl(TCG_COND_GE, source1, source2, l); + break; + case OPC_RISC_BLTU: + tcg_gen_brcond_tl(TCG_COND_LTU, source1, source2, l); + break; + case OPC_RISC_BGEU: + tcg_gen_brcond_tl(TCG_COND_GEU, source1, source2, l); + break; + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } + + gen_goto_tb(ctx, 1, ctx->next_pc); + gen_set_label(l); /* branch taken */ + if (!riscv_has_ext(env, RVC) && ((ctx->pc + bimm) & 0x3)) { + /* misaligned */ + generate_exception_mbadaddr(ctx, RISCV_EXCP_INST_ADDR_MIS); + tcg_gen_exit_tb(0); + } else { + gen_goto_tb(ctx, 0, ctx->pc + bimm); + } + tcg_temp_free(source1); + tcg_temp_free(source2); + ctx->bstate =3D BS_BRANCH; +} + +static void gen_load(DisasContext *ctx, uint32_t opc, int rd, int rs1, + target_long imm) +{ + TCGv t0 =3D tcg_temp_new(); + TCGv t1 =3D tcg_temp_new(); + gen_get_gpr(t0, rs1); + tcg_gen_addi_tl(t0, t0, imm); + int memop =3D tcg_memop_lookup[(opc >> 12) & 0x7]; + + if (memop < 0) { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } else { + tcg_gen_qemu_ld_tl(t1, t0, ctx->mem_idx, memop); + } + + gen_set_gpr(rd, t1); + tcg_temp_free(t0); + tcg_temp_free(t1); +} + +static void gen_store(DisasContext *ctx, uint32_t opc, int rs1, int rs2, + target_long imm) +{ + TCGv t0 =3D tcg_temp_new(); + TCGv dat =3D tcg_temp_new(); + gen_get_gpr(t0, rs1); + tcg_gen_addi_tl(t0, t0, imm); + gen_get_gpr(dat, rs2); + int memop =3D tcg_memop_lookup[(opc >> 12) & 0x7]; + + if (memop < 0) { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } else { + tcg_gen_qemu_st_tl(dat, t0, ctx->mem_idx, memop); + } + + tcg_temp_free(t0); + tcg_temp_free(dat); +} + +static void gen_fp_load(DisasContext *ctx, uint32_t opc, int rd, + int rs1, target_long imm) +{ + TCGv t0 =3D tcg_temp_new(); +#if !defined(CONFIG_USER_ONLY) + TCGLabel *fp_ok =3D gen_new_label(); + TCGLabel *done =3D gen_new_label(); + + /* check MSTATUS.FS */ + tcg_gen_ld_tl(t0, cpu_env, offsetof(CPURISCVState, mstatus)); + tcg_gen_andi_tl(t0, t0, MSTATUS_FS); + tcg_gen_brcondi_tl(TCG_COND_NE, t0, 0x0, fp_ok); + /* MSTATUS_FS field was zero */ + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + tcg_gen_br(done); + + /* proceed with operation */ + gen_set_label(fp_ok); +#endif + gen_get_gpr(t0, rs1); + tcg_gen_addi_tl(t0, t0, imm); + + switch (opc) { + case OPC_RISC_FLW: + tcg_gen_qemu_ld_i64(cpu_fpr[rd], t0, ctx->mem_idx, MO_TEUL); + break; + case OPC_RISC_FLD: + tcg_gen_qemu_ld_i64(cpu_fpr[rd], t0, ctx->mem_idx, MO_TEQ); + break; + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } +#if !defined(CONFIG_USER_ONLY) + gen_set_label(done); +#endif + tcg_temp_free(t0); +} + +static void gen_fp_store(DisasContext *ctx, uint32_t opc, int rs1, + int rs2, target_long imm) +{ + TCGv t0 =3D tcg_temp_new(); + TCGv t1 =3D tcg_temp_new(); +#if !defined(CONFIG_USER_ONLY) + TCGLabel *fp_ok =3D gen_new_label(); + TCGLabel *done =3D gen_new_label(); + + /* check MSTATUS.FS */ + tcg_gen_ld_tl(t0, cpu_env, offsetof(CPURISCVState, mstatus)); + tcg_gen_andi_tl(t0, t0, MSTATUS_FS); + tcg_gen_brcondi_tl(TCG_COND_NE, t0, 0x0, fp_ok); + /* MSTATUS_FS field was zero */ + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + tcg_gen_br(done); + + /* proceed with operation */ + gen_set_label(fp_ok); +#endif + gen_get_gpr(t0, rs1); + tcg_gen_addi_tl(t0, t0, imm); + + switch (opc) { + case OPC_RISC_FSW: + tcg_gen_qemu_st_i64(cpu_fpr[rs2], t0, ctx->mem_idx, MO_TEUL); + break; + case OPC_RISC_FSD: + tcg_gen_qemu_st_i64(cpu_fpr[rs2], t0, ctx->mem_idx, MO_TEQ); + break; + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } + +#if !defined(CONFIG_USER_ONLY) + gen_set_label(done); +#endif + tcg_temp_free(t0); + tcg_temp_free(t1); +} + +static void gen_atomic(DisasContext *ctx, uint32_t opc, + int rd, int rs1, int rs2) +{ +#if !defined(CONFIG_USER_ONLY) + /* TODO: handle aq, rl bits? - for now just get rid of them: */ + opc =3D MASK_OP_ATOMIC_NO_AQ_RL(opc); + TCGv source1, source2, dat; + TCGLabel *j =3D gen_new_label(); + TCGLabel *done =3D gen_new_label(); + source1 =3D tcg_temp_local_new(); + source2 =3D tcg_temp_local_new(); + dat =3D tcg_temp_local_new(); + gen_get_gpr(source1, rs1); + gen_get_gpr(source2, rs2); + + switch (opc) { + /* all currently implemented as non-atomics */ + case OPC_RISC_LR_W: + /* put addr in load_res */ + tcg_gen_mov_tl(load_res, source1); + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TESL | MO_ALIGN); + break; + case OPC_RISC_SC_W: + tcg_gen_brcond_tl(TCG_COND_NE, load_res, source1, j); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEUL | MO_AL= IGN); + tcg_gen_movi_tl(dat, 0); /*success */ + tcg_gen_br(done); + gen_set_label(j); + tcg_gen_movi_tl(dat, 1); /*fail */ + gen_set_label(done); + break; + case OPC_RISC_AMOSWAP_W: + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TESL | MO_ALIGN); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEUL | MO_AL= IGN); + break; + case OPC_RISC_AMOADD_W: + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TESL | MO_ALIGN); + tcg_gen_add_tl(source2, dat, source2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEUL | MO_AL= IGN); + break; + case OPC_RISC_AMOXOR_W: + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TESL | MO_ALIGN); + tcg_gen_xor_tl(source2, dat, source2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEUL | MO_AL= IGN); + break; + case OPC_RISC_AMOAND_W: + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TESL | MO_ALIGN); + tcg_gen_and_tl(source2, dat, source2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEUL | MO_AL= IGN); + break; + case OPC_RISC_AMOOR_W: + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TESL | MO_ALIGN); + tcg_gen_or_tl(source2, dat, source2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEUL | MO_AL= IGN); + break; + case OPC_RISC_AMOMIN_W: + tcg_gen_ext32s_tl(source2, source2); /* since comparing */ + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TESL | MO_ALIGN); + tcg_gen_movcond_tl(TCG_COND_LT, source2, dat, source2, dat, source= 2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEUL | MO_AL= IGN); + break; + case OPC_RISC_AMOMAX_W: + tcg_gen_ext32s_tl(source2, source2); /* since comparing */ + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TESL | MO_ALIGN); + tcg_gen_movcond_tl(TCG_COND_GT, source2, dat, source2, dat, source= 2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEUL | MO_AL= IGN); + break; + case OPC_RISC_AMOMINU_W: + tcg_gen_ext32u_tl(source2, source2); /* since comparing */ + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TEUL | MO_ALIGN); + tcg_gen_movcond_tl(TCG_COND_LTU, source2, dat, source2, dat, sourc= e2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEUL | MO_AL= IGN); + tcg_gen_ext32s_tl(dat, dat); /* since load was TEUL */ + break; + case OPC_RISC_AMOMAXU_W: + tcg_gen_ext32u_tl(source2, source2); /* since comparing */ + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TEUL | MO_ALIGN); + tcg_gen_movcond_tl(TCG_COND_GTU, source2, dat, source2, dat, sourc= e2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEUL | MO_AL= IGN); + tcg_gen_ext32s_tl(dat, dat); /* since load was TEUL */ + break; +#if defined(TARGET_RISCV64) + case OPC_RISC_LR_D: + /* put addr in load_res */ + tcg_gen_mov_tl(load_res, source1); + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TEQ | MO_ALIGN); + break; + case OPC_RISC_SC_D: + tcg_gen_brcond_tl(TCG_COND_NE, load_res, source1, j); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEQ | MO_ALI= GN); + tcg_gen_movi_tl(dat, 0); /* success */ + tcg_gen_br(done); + gen_set_label(j); + tcg_gen_movi_tl(dat, 1); /* fail */ + gen_set_label(done); + break; + case OPC_RISC_AMOSWAP_D: + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TEQ | MO_ALIGN); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEQ | MO_ALI= GN); + break; + case OPC_RISC_AMOADD_D: + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TEQ | MO_ALIGN); + tcg_gen_add_tl(source2, dat, source2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEQ | MO_ALI= GN); + break; + case OPC_RISC_AMOXOR_D: + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TEQ | MO_ALIGN); + tcg_gen_xor_tl(source2, dat, source2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEQ | MO_ALI= GN); + break; + case OPC_RISC_AMOAND_D: + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TEQ | MO_ALIGN); + tcg_gen_and_tl(source2, dat, source2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEQ | MO_ALI= GN); + break; + case OPC_RISC_AMOOR_D: + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TEQ | MO_ALIGN); + tcg_gen_or_tl(source2, dat, source2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEQ | MO_ALI= GN); + break; + case OPC_RISC_AMOMIN_D: + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TEQ | MO_ALIGN); + tcg_gen_movcond_tl(TCG_COND_LT, source2, dat, source2, dat, source= 2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEQ | MO_ALI= GN); + break; + case OPC_RISC_AMOMAX_D: + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TEQ | MO_ALIGN); + tcg_gen_movcond_tl(TCG_COND_GT, source2, dat, source2, dat, source= 2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEQ | MO_ALI= GN); + break; + case OPC_RISC_AMOMINU_D: + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TEQ | MO_ALIGN); + tcg_gen_movcond_tl(TCG_COND_LTU, source2, dat, source2, dat, sourc= e2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEQ | MO_ALI= GN); + break; + case OPC_RISC_AMOMAXU_D: + tcg_gen_qemu_ld_tl(dat, source1, ctx->mem_idx, MO_TEQ | MO_ALIGN); + tcg_gen_movcond_tl(TCG_COND_GTU, source2, dat, source2, dat, sourc= e2); + tcg_gen_qemu_st_tl(source2, source1, ctx->mem_idx, MO_TEQ | MO_ALI= GN); + break; +#endif + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } + + gen_set_gpr(rd, dat); + tcg_temp_free(source1); + tcg_temp_free(source2); + tcg_temp_free(dat); +#else + tcg_gen_movi_i32(cpu_amoinsn, ctx->opcode); + generate_exception(ctx, QEMU_USER_EXCP_ATOMIC); +#endif +} + +static void gen_fp_fmadd(DisasContext *ctx, uint32_t opc, int rd, + int rs1, int rs2, int rs3, int rm) +{ + TCGv_i64 rm_reg =3D tcg_temp_new_i64(); + tcg_gen_movi_i64(rm_reg, rm); + + switch (opc) { + case OPC_RISC_FMADD_S: + gen_helper_fmadd_s(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs2= ], + cpu_fpr[rs3], rm_reg); + break; + case OPC_RISC_FMADD_D: + gen_helper_fmadd_d(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs2= ], + cpu_fpr[rs3], rm_reg); + break; + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } + tcg_temp_free_i64(rm_reg); + +} + +static void gen_fp_fmsub(DisasContext *ctx, uint32_t opc, int rd, + int rs1, int rs2, int rs3, int rm) +{ + TCGv_i64 rm_reg =3D tcg_temp_new_i64(); + tcg_gen_movi_i64(rm_reg, rm); + + switch (opc) { + case OPC_RISC_FMSUB_S: + gen_helper_fmsub_s(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs2= ], + cpu_fpr[rs3], rm_reg); + break; + case OPC_RISC_FMSUB_D: + gen_helper_fmsub_d(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs2= ], + cpu_fpr[rs3], rm_reg); + break; + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } + tcg_temp_free_i64(rm_reg); +} + +static void gen_fp_fnmsub(DisasContext *ctx, uint32_t opc, int rd, + int rs1, int rs2, int rs3, int rm) +{ + TCGv_i64 rm_reg =3D tcg_temp_new_i64(); + tcg_gen_movi_i64(rm_reg, rm); + + switch (opc) { + case OPC_RISC_FNMSUB_S: + gen_helper_fnmsub_s(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs= 2], + cpu_fpr[rs3], rm_reg); + break; + case OPC_RISC_FNMSUB_D: + gen_helper_fnmsub_d(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs= 2], + cpu_fpr[rs3], rm_reg); + break; + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } + tcg_temp_free_i64(rm_reg); +} + +static void gen_fp_fnmadd(DisasContext *ctx, uint32_t opc, int rd, + int rs1, int rs2, int rs3, int rm) +{ + TCGv_i64 rm_reg =3D tcg_temp_new_i64(); + tcg_gen_movi_i64(rm_reg, rm); + + switch (opc) { + case OPC_RISC_FNMADD_S: + gen_helper_fnmadd_s(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs= 2], + cpu_fpr[rs3], rm_reg); + break; + case OPC_RISC_FNMADD_D: + gen_helper_fnmadd_d(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs= 2], + cpu_fpr[rs3], rm_reg); + break; + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } + tcg_temp_free_i64(rm_reg); +} + +static void gen_fp_arith(DisasContext *ctx, uint32_t opc, int rd, + int rs1, int rs2, int rm) +{ + TCGv_i64 rm_reg =3D tcg_temp_new_i64(); + TCGv write_int_rd =3D tcg_temp_new(); + tcg_gen_movi_i64(rm_reg, rm); + + switch (opc) { + case OPC_RISC_FADD_S: + gen_helper_fadd_s(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs2], + rm_reg); + break; + case OPC_RISC_FSUB_S: + gen_helper_fsub_s(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs2], + rm_reg); + break; + case OPC_RISC_FMUL_S: + gen_helper_fmul_s(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs2], + rm_reg); + break; + case OPC_RISC_FDIV_S: + gen_helper_fdiv_s(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs2], + rm_reg); + break; + case OPC_RISC_FSGNJ_S: + gen_fsgnj(ctx, rd, rs1, rs2, rm, INT32_MIN); + break; + case OPC_RISC_FMIN_S: + /* also handles: OPC_RISC_FMAX_S */ + if (rm =3D=3D 0x0) { + gen_helper_fmin_s(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[= rs2]); + } else if (rm =3D=3D 0x1) { + gen_helper_fmax_s(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[= rs2]); + } else { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + break; + case OPC_RISC_FSQRT_S: + gen_helper_fsqrt_s(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], rm_reg); + break; + case OPC_RISC_FEQ_S: + /* also handles: OPC_RISC_FLT_S, OPC_RISC_FLE_S */ + if (rm =3D=3D 0x0) { + gen_helper_fle_s(write_int_rd, cpu_env, cpu_fpr[rs1], cpu_fpr[= rs2]); + } else if (rm =3D=3D 0x1) { + gen_helper_flt_s(write_int_rd, cpu_env, cpu_fpr[rs1], cpu_fpr[= rs2]); + } else if (rm =3D=3D 0x2) { + gen_helper_feq_s(write_int_rd, cpu_env, cpu_fpr[rs1], cpu_fpr[= rs2]); + } else { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + gen_set_gpr(rd, write_int_rd); + break; + case OPC_RISC_FCVT_W_S: + /* also OPC_RISC_FCVT_WU_S, OPC_RISC_FCVT_L_S, OPC_RISC_FCVT_LU_S = */ + if (rs2 =3D=3D 0x0) { /* FCVT_W_S */ + gen_helper_fcvt_w_s(write_int_rd, cpu_env, cpu_fpr[rs1], rm_re= g); + } else if (rs2 =3D=3D 0x1) { /* FCVT_WU_S */ + gen_helper_fcvt_wu_s(write_int_rd, cpu_env, cpu_fpr[rs1], rm_r= eg); + } else if (rs2 =3D=3D 0x2) { /* FCVT_L_S */ +#if defined(TARGET_RISCV64) + gen_helper_fcvt_l_s(write_int_rd, cpu_env, cpu_fpr[rs1], rm_re= g); +#else + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); +#endif + } else if (rs2 =3D=3D 0x3) { /* FCVT_LU_S */ +#if defined(TARGET_RISCV64) + gen_helper_fcvt_lu_s(write_int_rd, cpu_env, cpu_fpr[rs1], rm_r= eg); +#else + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); +#endif + } else { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + gen_set_gpr(rd, write_int_rd); + break; + case OPC_RISC_FCVT_S_W: + /* also OPC_RISC_FCVT_S_WU, OPC_RISC_FCVT_S_L, OPC_RISC_FCVT_S_LU = */ + gen_get_gpr(write_int_rd, rs1); + if (rs2 =3D=3D 0) { /* FCVT_S_W */ + gen_helper_fcvt_s_w(cpu_fpr[rd], cpu_env, write_int_rd, rm_reg= ); + } else if (rs2 =3D=3D 0x1) { /* FCVT_S_WU */ + gen_helper_fcvt_s_wu(cpu_fpr[rd], cpu_env, write_int_rd, rm_re= g); + } else if (rs2 =3D=3D 0x2) { /* FCVT_S_L */ +#if defined(TARGET_RISCV64) + gen_helper_fcvt_s_l(cpu_fpr[rd], cpu_env, write_int_rd, rm_reg= ); +#else + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); +#endif + } else if (rs2 =3D=3D 0x3) { /* FCVT_S_LU */ +#if defined(TARGET_RISCV64) + gen_helper_fcvt_s_lu(cpu_fpr[rd], cpu_env, write_int_rd, rm_re= g); +#else + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); +#endif + } else { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + break; + case OPC_RISC_FMV_X_S: { +#if !defined(CONFIG_USER_ONLY) + TCGLabel *fp_ok =3D gen_new_label(); + TCGLabel *done =3D gen_new_label(); + + /* check MSTATUS.FS */ + tcg_gen_ld_tl(write_int_rd, cpu_env, + offsetof(CPURISCVState, mstatus)); + tcg_gen_andi_tl(write_int_rd, write_int_rd, MSTATUS_FS); + tcg_gen_brcondi_tl(TCG_COND_NE, write_int_rd, 0x0, fp_ok); + /* MSTATUS_FS field was zero */ + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + tcg_gen_br(done); + + /* proceed with operation */ + gen_set_label(fp_ok); +#endif + /* also OPC_RISC_FCLASS_S */ + if (rm =3D=3D 0x0) { /* FMV */ +#if defined(TARGET_RISCV64) + tcg_gen_ext32s_tl(write_int_rd, cpu_fpr[rs1]); +#else + tcg_gen_extrl_i64_i32(write_int_rd, cpu_fpr[rs1]); +#endif + } else if (rm =3D=3D 0x1) { + gen_helper_fclass_s(write_int_rd, cpu_env, cpu_fpr[rs1]); + } else { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + gen_set_gpr(rd, write_int_rd); +#if !defined(CONFIG_USER_ONLY) + gen_set_label(done); +#endif + break; + } + case OPC_RISC_FMV_S_X: + { +#if !defined(CONFIG_USER_ONLY) + TCGLabel *fp_ok =3D gen_new_label(); + TCGLabel *done =3D gen_new_label(); + + /* check MSTATUS.FS */ + tcg_gen_ld_tl(write_int_rd, cpu_env, + offsetof(CPURISCVState, mstatus)); + tcg_gen_andi_tl(write_int_rd, write_int_rd, MSTATUS_FS); + tcg_gen_brcondi_tl(TCG_COND_NE, write_int_rd, 0x0, fp_ok); + /* MSTATUS_FS field was zero */ + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + tcg_gen_br(done); + + /* proceed with operation */ + gen_set_label(fp_ok); +#endif + gen_get_gpr(write_int_rd, rs1); +#if defined(TARGET_RISCV64) + tcg_gen_mov_tl(cpu_fpr[rd], write_int_rd); +#else + tcg_gen_extu_i32_i64(cpu_fpr[rd], write_int_rd); +#endif +#if !defined(CONFIG_USER_ONLY) + gen_set_label(done); +#endif + break; + } + /* double */ + case OPC_RISC_FADD_D: + gen_helper_fadd_d(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs2], + rm_reg); + break; + case OPC_RISC_FSUB_D: + gen_helper_fsub_d(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs2], + rm_reg); + break; + case OPC_RISC_FMUL_D: + gen_helper_fmul_d(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs2], + rm_reg); + break; + case OPC_RISC_FDIV_D: + gen_helper_fdiv_d(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[rs2], + rm_reg); + break; + case OPC_RISC_FSGNJ_D: + gen_fsgnj(ctx, rd, rs1, rs2, rm, INT64_MIN); + break; + case OPC_RISC_FMIN_D: + /* also OPC_RISC_FMAX_D */ + if (rm =3D=3D 0x0) { + gen_helper_fmin_d(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[= rs2]); + } else if (rm =3D=3D 0x1) { + gen_helper_fmax_d(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], cpu_fpr[= rs2]); + } else { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + break; + case OPC_RISC_FCVT_S_D: + if (rs2 =3D=3D 0x1) { + gen_helper_fcvt_s_d(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], rm_reg= ); + } else { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + break; + case OPC_RISC_FCVT_D_S: + if (rs2 =3D=3D 0x0) { + gen_helper_fcvt_d_s(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], rm_reg= ); + } else { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + break; + case OPC_RISC_FSQRT_D: + gen_helper_fsqrt_d(cpu_fpr[rd], cpu_env, cpu_fpr[rs1], rm_reg); + break; + case OPC_RISC_FEQ_D: + /* also OPC_RISC_FLT_D, OPC_RISC_FLE_D */ + if (rm =3D=3D 0x0) { + gen_helper_fle_d(write_int_rd, cpu_env, cpu_fpr[rs1], cpu_fpr[= rs2]); + } else if (rm =3D=3D 0x1) { + gen_helper_flt_d(write_int_rd, cpu_env, cpu_fpr[rs1], cpu_fpr[= rs2]); + } else if (rm =3D=3D 0x2) { + gen_helper_feq_d(write_int_rd, cpu_env, cpu_fpr[rs1], cpu_fpr[= rs2]); + } else { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + gen_set_gpr(rd, write_int_rd); + break; + case OPC_RISC_FCVT_W_D: + /* also OPC_RISC_FCVT_WU_D, OPC_RISC_FCVT_L_D, OPC_RISC_FCVT_LU_D = */ + if (rs2 =3D=3D 0x0) { + gen_helper_fcvt_w_d(write_int_rd, cpu_env, cpu_fpr[rs1], rm_re= g); + } else if (rs2 =3D=3D 0x1) { + gen_helper_fcvt_wu_d(write_int_rd, cpu_env, cpu_fpr[rs1], rm_r= eg); + } else if (rs2 =3D=3D 0x2) { +#if defined(TARGET_RISCV64) + gen_helper_fcvt_l_d(write_int_rd, cpu_env, cpu_fpr[rs1], rm_re= g); +#else + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); +#endif + } else if (rs2 =3D=3D 0x3) { +#if defined(TARGET_RISCV64) + gen_helper_fcvt_lu_d(write_int_rd, cpu_env, cpu_fpr[rs1], rm_r= eg); +#else + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); +#endif + } else { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + gen_set_gpr(rd, write_int_rd); + break; + case OPC_RISC_FCVT_D_W: + /* also OPC_RISC_FCVT_D_WU, OPC_RISC_FCVT_D_L, OPC_RISC_FCVT_D_LU = */ + gen_get_gpr(write_int_rd, rs1); + if (rs2 =3D=3D 0x0) { + gen_helper_fcvt_d_w(cpu_fpr[rd], cpu_env, write_int_rd, rm_reg= ); + } else if (rs2 =3D=3D 0x1) { + gen_helper_fcvt_d_wu(cpu_fpr[rd], cpu_env, write_int_rd, rm_re= g); + } else if (rs2 =3D=3D 0x2) { +#if defined(TARGET_RISCV64) + gen_helper_fcvt_d_l(cpu_fpr[rd], cpu_env, write_int_rd, rm_reg= ); +#else + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); +#endif + } else if (rs2 =3D=3D 0x3) { +#if defined(TARGET_RISCV64) + gen_helper_fcvt_d_lu(cpu_fpr[rd], cpu_env, write_int_rd, rm_re= g); +#else + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); +#endif + } else { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + break; +#if defined(TARGET_RISCV64) + case OPC_RISC_FMV_X_D: + { +#if !defined(CONFIG_USER_ONLY) + TCGLabel *fp_ok =3D gen_new_label(); + TCGLabel *done =3D gen_new_label(); + + /* check MSTATUS.FS */ + tcg_gen_ld_tl(write_int_rd, cpu_env, + offsetof(CPURISCVState, mstatus)); + tcg_gen_andi_tl(write_int_rd, write_int_rd, MSTATUS_FS); + tcg_gen_brcondi_tl(TCG_COND_NE, write_int_rd, 0x0, fp_ok); + /* MSTATUS_FS field was zero */ + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + tcg_gen_br(done); + + /* proceed with operation */ + gen_set_label(fp_ok); +#endif + /* also OPC_RISC_FCLASS_D */ + if (rm =3D=3D 0x0) { /* FMV */ + tcg_gen_mov_tl(write_int_rd, cpu_fpr[rs1]); + } else if (rm =3D=3D 0x1) { + gen_helper_fclass_d(write_int_rd, cpu_env, cpu_fpr[rs1]); + } else { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } + gen_set_gpr(rd, write_int_rd); +#if !defined(CONFIG_USER_ONLY) + gen_set_label(done); +#endif + break; + } + case OPC_RISC_FMV_D_X: + { +#if !defined(CONFIG_USER_ONLY) + TCGLabel *fp_ok =3D gen_new_label(); + TCGLabel *done =3D gen_new_label(); + + /* check MSTATUS.FS */ + tcg_gen_ld_tl(write_int_rd, cpu_env, + offsetof(CPURISCVState, mstatus)); + tcg_gen_andi_tl(write_int_rd, write_int_rd, MSTATUS_FS); + tcg_gen_brcondi_tl(TCG_COND_NE, write_int_rd, 0x0, fp_ok); + /* MSTATUS_FS field was zero */ + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + tcg_gen_br(done); + + /* proceed with operation */ + gen_set_label(fp_ok); +#endif + gen_get_gpr(write_int_rd, rs1); + tcg_gen_mov_tl(cpu_fpr[rd], write_int_rd); +#if !defined(CONFIG_USER_ONLY) + gen_set_label(done); +#endif + break; + } +#endif + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } + tcg_temp_free_i64(rm_reg); + tcg_temp_free(write_int_rd); +} + +static void gen_system(DisasContext *ctx, uint32_t opc, + int rd, int rs1, int csr) +{ + TCGv source1, csr_store, dest, rs1_pass, imm_rs1; + source1 =3D tcg_temp_new(); + csr_store =3D tcg_temp_new(); + dest =3D tcg_temp_new(); + rs1_pass =3D tcg_temp_new(); + imm_rs1 =3D tcg_temp_new(); + gen_get_gpr(source1, rs1); + tcg_gen_movi_tl(cpu_pc, ctx->pc); + tcg_gen_movi_tl(rs1_pass, rs1); + tcg_gen_movi_tl(csr_store, csr); /* copy into temp reg to feed to help= er */ + + switch (opc) { + case OPC_RISC_ECALL: + switch (csr) { + case 0x0: /* ECALL */ + /* always generates U-level ECALL, fixed in do_interrupt handl= er */ + generate_exception(ctx, RISCV_EXCP_U_ECALL); + tcg_gen_exit_tb(0); /* no chaining */ + ctx->bstate =3D BS_BRANCH; + break; + case 0x1: /* EBREAK */ + generate_exception(ctx, RISCV_EXCP_BREAKPOINT); + tcg_gen_exit_tb(0); /* no chaining */ + ctx->bstate =3D BS_BRANCH; + break; +#ifndef CONFIG_USER_ONLY + case 0x002: /* URET */ + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + case 0x102: /* SRET */ + gen_helper_sret(cpu_pc, cpu_env, cpu_pc); + tcg_gen_exit_tb(0); /* no chaining */ + ctx->bstate =3D BS_BRANCH; + break; + case 0x202: /* HRET */ + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + case 0x302: /* MRET */ + gen_helper_mret(cpu_pc, cpu_env, cpu_pc); + tcg_gen_exit_tb(0); /* no chaining */ + ctx->bstate =3D BS_BRANCH; + break; + case 0x7b2: /* DRET */ + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + case 0x105: /* WFI */ + tcg_gen_movi_tl(cpu_pc, ctx->next_pc); + gen_helper_wfi(cpu_env); + break; + case 0x104: /* SFENCE.VM */ + gen_helper_tlb_flush(cpu_env); + break; + case 0x120: /* SFENCE.VMA */ + /* TODO: handle ASID specific fences */ + gen_helper_tlb_flush(cpu_env); + break; +#endif + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } + break; + default: + tcg_gen_movi_tl(imm_rs1, rs1); + switch (opc) { + case OPC_RISC_CSRRW: + gen_helper_csrrw(dest, cpu_env, source1, csr_store); + break; + case OPC_RISC_CSRRS: + gen_helper_csrrs(dest, cpu_env, source1, csr_store, rs1_pass); + break; + case OPC_RISC_CSRRC: + gen_helper_csrrc(dest, cpu_env, source1, csr_store, rs1_pass); + break; + case OPC_RISC_CSRRWI: + gen_helper_csrrw(dest, cpu_env, imm_rs1, csr_store); + break; + case OPC_RISC_CSRRSI: + gen_helper_csrrs(dest, cpu_env, imm_rs1, csr_store, rs1_pass); + break; + case OPC_RISC_CSRRCI: + gen_helper_csrrc(dest, cpu_env, imm_rs1, csr_store, rs1_pass); + break; + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } + gen_set_gpr(rd, dest); + /* end tb since we may be changing priv modes, to get mmu_index ri= ght */ + tcg_gen_movi_tl(cpu_pc, ctx->next_pc); + tcg_gen_exit_tb(0); /* no chaining */ + ctx->bstate =3D BS_BRANCH; + break; + } + tcg_temp_free(source1); + tcg_temp_free(csr_store); + tcg_temp_free(dest); + tcg_temp_free(rs1_pass); + tcg_temp_free(imm_rs1); +} + +static void decode_RV32_64C0(DisasContext *ctx) +{ + uint8_t funct3 =3D extract32(ctx->opcode, 13, 3); + uint8_t rd_rs2 =3D GET_C_RS2S(ctx->opcode); + uint8_t rs1s =3D GET_C_RS1S(ctx->opcode); + + switch (funct3) { + case 0: + /* illegal */ + if (ctx->opcode =3D=3D 0) { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } else { + /* C.ADDI4SPN -> addi rd', x2, zimm[9:2]*/ + gen_arith_imm(ctx, OPC_RISC_ADDI, rd_rs2, 2, + GET_C_ADDI4SPN_IMM(ctx->opcode)); + } + break; + case 1: + /* C.FLD -> fld rd', offset[7:3](rs1')*/ + gen_fp_load(ctx, OPC_RISC_FLD, rd_rs2, rs1s, + GET_C_LD_IMM(ctx->opcode)); + /* C.LQ(RV128) */ + break; + case 2: + /* C.LW -> lw rd', offset[6:2](rs1') */ + gen_load(ctx, OPC_RISC_LW, rd_rs2, rs1s, + GET_C_LW_IMM(ctx->opcode)); + break; + case 3: +#if defined(TARGET_RISCV64) + /* C.LD(RV64/128) -> ld rd', offset[7:3](rs1')*/ + gen_load(ctx, OPC_RISC_LD, rd_rs2, rs1s, + GET_C_LD_IMM(ctx->opcode)); +#else + /* C.FLW (RV32) -> flw rd', offset[6:2](rs1')*/ + gen_fp_load(ctx, OPC_RISC_FLW, rd_rs2, rs1s, + GET_C_LW_IMM(ctx->opcode)); +#endif + break; + case 4: + /* reserved */ + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + case 5: + /* C.FSD(RV32/64) -> fsd rs2', offset[7:3](rs1') */ + gen_fp_store(ctx, OPC_RISC_FSD, rs1s, rd_rs2, + GET_C_LD_IMM(ctx->opcode)); + /* C.SQ (RV128) */ + break; + case 6: + /* C.SW -> sw rs2', offset[6:2](rs1')*/ + gen_store(ctx, OPC_RISC_SW, rs1s, rd_rs2, + GET_C_LW_IMM(ctx->opcode)); + break; + case 7: +#if defined(TARGET_RISCV64) + /* C.SD (RV64/128) -> sd rs2', offset[7:3](rs1')*/ + gen_store(ctx, OPC_RISC_SD, rs1s, rd_rs2, + GET_C_LD_IMM(ctx->opcode)); +#else + /* C.FSW (RV32) -> fsw rs2', offset[6:2](rs1')*/ + gen_fp_store(ctx, OPC_RISC_FSW, rs1s, rd_rs2, + GET_C_LW_IMM(ctx->opcode)); +#endif + break; + } +} + +static void decode_RV32_64C1(CPURISCVState *env, DisasContext *ctx) +{ + uint8_t funct3 =3D extract32(ctx->opcode, 13, 3); + uint8_t rd_rs1 =3D GET_C_RS1(ctx->opcode); + uint8_t rs1s, rs2s; + uint8_t funct2; + + switch (funct3) { + case 0: + /* C.ADDI -> addi rd, rd, nzimm[5:0] */ + gen_arith_imm(ctx, OPC_RISC_ADDI, rd_rs1, rd_rs1, + GET_C_IMM(ctx->opcode)); + break; + case 1: +#if defined(TARGET_RISCV64) + /* C.ADDIW (RV64/128) -> addiw rd, rd, imm[5:0]*/ + gen_arith_imm(ctx, OPC_RISC_ADDIW, rd_rs1, rd_rs1, + GET_C_IMM(ctx->opcode)); +#else + /* C.JAL(RV32) -> jal x1, offset[11:1] */ + gen_jal(env, ctx, 1, GET_C_J_IMM(ctx->opcode)); +#endif + break; + case 2: + /* C.LI -> addi rd, x0, imm[5:0]*/ + gen_arith_imm(ctx, OPC_RISC_ADDI, rd_rs1, 0, GET_C_IMM(ctx->opcode= )); + break; + case 3: + if (rd_rs1 =3D=3D 2) { + /* C.ADDI16SP -> addi x2, x2, nzimm[9:4]*/ + gen_arith_imm(ctx, OPC_RISC_ADDI, 2, 2, + GET_C_ADDI16SP_IMM(ctx->opcode)); + } else if (rd_rs1 !=3D 0) { + /* C.LUI (rs1/rd =3D/=3D {0,2}) -> lui rd, nzimm[17:12]*/ + tcg_gen_movi_tl(cpu_gpr[rd_rs1], + GET_C_IMM(ctx->opcode) << 12); + } + break; + case 4: + funct2 =3D extract32(ctx->opcode, 10, 2); + rs1s =3D GET_C_RS1S(ctx->opcode); + switch (funct2) { + case 0: /* C.SRLI(RV32) -> srli rd', rd', shamt[5:0] */ + gen_arith_imm(ctx, OPC_RISC_SHIFT_RIGHT_I, rs1s, rs1s, + GET_C_ZIMM(ctx->opcode)); + /* C.SRLI64(RV128) */ + break; + case 1: + /* C.SRAI -> srai rd', rd', shamt[5:0]*/ + gen_arith_imm(ctx, OPC_RISC_SHIFT_RIGHT_I, rs1s, rs1s, + GET_C_ZIMM(ctx->opcode) | 0x400); + /* C.SRAI64(RV128) */ + break; + case 2: + /* C.ANDI -> andi rd', rd', imm[5:0]*/ + gen_arith_imm(ctx, OPC_RISC_ANDI, rs1s, rs1s, + GET_C_IMM(ctx->opcode)); + break; + case 3: + funct2 =3D extract32(ctx->opcode, 5, 2); + rs2s =3D GET_C_RS2S(ctx->opcode); + switch (funct2) { + case 0: + /* C.SUB -> sub rd', rd', rs2' */ + if (extract32(ctx->opcode, 12, 1) =3D=3D 0) { + gen_arith(ctx, OPC_RISC_SUB, rs1s, rs1s, rs2s); + } +#if defined(TARGET_RISCV64) + else { + gen_arith(ctx, OPC_RISC_SUBW, rs1s, rs1s, rs2s); + } +#endif + break; + case 1: + /* C.XOR -> xor rs1', rs1', rs2' */ + if (extract32(ctx->opcode, 12, 1) =3D=3D 0) { + gen_arith(ctx, OPC_RISC_XOR, rs1s, rs1s, rs2s); + } +#if defined(TARGET_RISCV64) + else { + /* C.ADDW (RV64/128) */ + gen_arith(ctx, OPC_RISC_ADDW, rs1s, rs1s, rs2s); + } +#endif + break; + case 2: + /* C.OR -> or rs1', rs1', rs2' */ + gen_arith(ctx, OPC_RISC_OR, rs1s, rs1s, rs2s); + break; + case 3: + /* C.AND -> and rs1', rs1', rs2' */ + gen_arith(ctx, OPC_RISC_AND, rs1s, rs1s, rs2s); + break; + } + break; + } + break; + case 5: + /* C.J -> jal x0, offset[11:1]*/ + gen_jal(env, ctx, 0, GET_C_J_IMM(ctx->opcode)); + break; + case 6: + /* C.BEQZ -> beq rs1', x0, offset[8:1]*/ + rs1s =3D GET_C_RS1S(ctx->opcode); + gen_branch(env, ctx, OPC_RISC_BEQ, rs1s, 0, GET_C_B_IMM(ctx->opcod= e)); + break; + case 7: + /* C.BNEZ -> bne rs1', x0, offset[8:1]*/ + rs1s =3D GET_C_RS1S(ctx->opcode); + gen_branch(env, ctx, OPC_RISC_BNE, rs1s, 0, GET_C_B_IMM(ctx->opcod= e)); + break; + } +} + +static void decode_RV32_64C2(CPURISCVState *env, DisasContext *ctx) +{ + uint8_t rd, rs2; + uint8_t funct3 =3D extract32(ctx->opcode, 13, 3); + + + rd =3D GET_RD(ctx->opcode); + + switch (funct3) { + case 0: /* C.SLLI -> slli rd, rd, shamt[5:0] + C.SLLI64 -> */ + gen_arith_imm(ctx, OPC_RISC_SLLI, rd, rd, GET_C_ZIMM(ctx->opcode)); + break; + case 1: /* C.FLDSP(RV32/64DC) -> fld rd, offset[8:3](x2) */ + gen_fp_load(ctx, OPC_RISC_FLD, rd, 2, GET_C_LDSP_IMM(ctx->opcode)); + break; + case 2: /* C.LWSP -> lw rd, offset[7:2](x2) */ + gen_load(ctx, OPC_RISC_LW, rd, 2, GET_C_LWSP_IMM(ctx->opcode)); + break; + case 3: +#if defined(TARGET_RISCV64) + /* C.LDSP(RVC64) -> ld rd, offset[8:3](x2) */ + gen_load(ctx, OPC_RISC_LD, rd, 2, GET_C_LDSP_IMM(ctx->opcode)); +#else + /* C.FLWSP(RV32FC) -> flw rd, offset[7:2](x2) */ + gen_fp_load(ctx, OPC_RISC_FLW, rd, 2, GET_C_LWSP_IMM(ctx->opcode)); +#endif + break; + case 4: + rs2 =3D GET_C_RS2(ctx->opcode); + + if (extract32(ctx->opcode, 12, 1) =3D=3D 0) { + if (rs2 =3D=3D 0) { + /* C.JR -> jalr x0, rs1, 0*/ + gen_jalr(env, ctx, OPC_RISC_JALR, 0, rd, 0); + } else { + /* C.MV -> add rd, x0, rs2 */ + gen_arith(ctx, OPC_RISC_ADD, rd, 0, rs2); + } + } else { + if (rd =3D=3D 0) { + /* C.EBREAK -> ebreak*/ + gen_system(ctx, OPC_RISC_ECALL, 0, 0, 0x1); + } else { + if (rs2 =3D=3D 0) { + /* C.JALR -> jalr x1, rs1, 0*/ + gen_jalr(env, ctx, OPC_RISC_JALR, 1, rd, 0); + } else { + /* C.ADD -> add rd, rd, rs2 */ + gen_arith(ctx, OPC_RISC_ADD, rd, rd, rs2); + } + } + } + break; + case 5: + /* C.FSDSP -> fsd rs2, offset[8:3](x2)*/ + gen_fp_store(ctx, OPC_RISC_FSD, 2, GET_C_RS2(ctx->opcode), + GET_C_SDSP_IMM(ctx->opcode)); + /* C.SQSP */ + break; + case 6: /* C.SWSP -> sw rs2, offset[7:2](x2)*/ + gen_store(ctx, OPC_RISC_SW, 2, GET_C_RS2(ctx->opcode), + GET_C_SWSP_IMM(ctx->opcode)); + break; + case 7: +#if defined(TARGET_RISCV64) + /* C.SDSP(Rv64/128) -> sd rs2, offset[8:3](x2)*/ + gen_store(ctx, OPC_RISC_SD, 2, GET_C_RS2(ctx->opcode), + GET_C_SDSP_IMM(ctx->opcode)); +#else + /* C.FSWSP(RV32) -> fsw rs2, offset[7:2](x2) */ + gen_fp_store(ctx, OPC_RISC_FSW, 2, GET_C_RS2(ctx->opcode), + GET_C_SWSP_IMM(ctx->opcode)); +#endif + break; + } +} + +static void decode_RV32_64C(CPURISCVState *env, DisasContext *ctx) +{ + uint8_t op =3D extract32(ctx->opcode, 0, 2); + + switch (op) { + case 0: + decode_RV32_64C0(ctx); + break; + case 1: + decode_RV32_64C1(env, ctx); + break; + case 2: + decode_RV32_64C2(env, ctx); + break; + } +} + +static void decode_RV32_64G(CPURISCVState *env, DisasContext *ctx) +{ + int rs1; + int rs2; + int rd; + uint32_t op; + target_long imm; + + /* We do not do misaligned address check here: the address should neve= r be + * misaligned at this point. Instructions that set PC must do the chec= k, + * since epc must be the address of the instruction that caused us to + * perform the misaligned instruction fetch */ + + op =3D MASK_OP_MAJOR(ctx->opcode); + rs1 =3D GET_RS1(ctx->opcode); + rs2 =3D GET_RS2(ctx->opcode); + rd =3D GET_RD(ctx->opcode); + imm =3D GET_IMM(ctx->opcode); + + switch (op) { + case OPC_RISC_LUI: + if (rd =3D=3D 0) { + break; /* NOP */ + } + tcg_gen_movi_tl(cpu_gpr[rd], sextract64(ctx->opcode, 12, 20) << 12= ); + break; + case OPC_RISC_AUIPC: + if (rd =3D=3D 0) { + break; /* NOP */ + } + tcg_gen_movi_tl(cpu_gpr[rd], (sextract64(ctx->opcode, 12, 20) << 1= 2) + + ctx->pc); + break; + case OPC_RISC_JAL: + imm =3D GET_JAL_IMM(ctx->opcode); + gen_jal(env, ctx, rd, imm); + break; + case OPC_RISC_JALR: + gen_jalr(env, ctx, MASK_OP_JALR(ctx->opcode), rd, rs1, imm); + break; + case OPC_RISC_BRANCH: + gen_branch(env, ctx, MASK_OP_BRANCH(ctx->opcode), rs1, rs2, + GET_B_IMM(ctx->opcode)); + break; + case OPC_RISC_LOAD: + gen_load(ctx, MASK_OP_LOAD(ctx->opcode), rd, rs1, imm); + break; + case OPC_RISC_STORE: + gen_store(ctx, MASK_OP_STORE(ctx->opcode), rs1, rs2, + GET_STORE_IMM(ctx->opcode)); + break; + case OPC_RISC_ARITH_IMM: +#if defined(TARGET_RISCV64) + case OPC_RISC_ARITH_IMM_W: +#endif + if (rd =3D=3D 0) { + break; /* NOP */ + } + gen_arith_imm(ctx, MASK_OP_ARITH_IMM(ctx->opcode), rd, rs1, imm); + break; + case OPC_RISC_ARITH: +#if defined(TARGET_RISCV64) + case OPC_RISC_ARITH_W: +#endif + if (rd =3D=3D 0) { + break; /* NOP */ + } + gen_arith(ctx, MASK_OP_ARITH(ctx->opcode), rd, rs1, rs2); + break; + case OPC_RISC_FP_LOAD: + gen_fp_load(ctx, MASK_OP_FP_LOAD(ctx->opcode), rd, rs1, imm); + break; + case OPC_RISC_FP_STORE: + gen_fp_store(ctx, MASK_OP_FP_STORE(ctx->opcode), rs1, rs2, + GET_STORE_IMM(ctx->opcode)); + break; + case OPC_RISC_ATOMIC: + gen_atomic(ctx, MASK_OP_ATOMIC(ctx->opcode), rd, rs1, rs2); + break; + case OPC_RISC_FMADD: + gen_fp_fmadd(ctx, MASK_OP_FP_FMADD(ctx->opcode), rd, rs1, rs2, + GET_RS3(ctx->opcode), GET_RM(ctx->opcode)); + break; + case OPC_RISC_FMSUB: + gen_fp_fmsub(ctx, MASK_OP_FP_FMSUB(ctx->opcode), rd, rs1, rs2, + GET_RS3(ctx->opcode), GET_RM(ctx->opcode)); + break; + case OPC_RISC_FNMSUB: + gen_fp_fnmsub(ctx, MASK_OP_FP_FNMSUB(ctx->opcode), rd, rs1, rs2, + GET_RS3(ctx->opcode), GET_RM(ctx->opcode)); + break; + case OPC_RISC_FNMADD: + gen_fp_fnmadd(ctx, MASK_OP_FP_FNMADD(ctx->opcode), rd, rs1, rs2, + GET_RS3(ctx->opcode), GET_RM(ctx->opcode)); + break; + case OPC_RISC_FP_ARITH: + gen_fp_arith(ctx, MASK_OP_FP_ARITH(ctx->opcode), rd, rs1, rs2, + GET_RM(ctx->opcode)); + break; + case OPC_RISC_FENCE: +#ifndef CONFIG_USER_ONLY + /* standard fence is nop, fence_i flushes TB (like an icache): */ + if (ctx->opcode & 0x1000) { /* FENCE_I */ + gen_helper_fence_i(cpu_env); + tcg_gen_movi_tl(cpu_pc, ctx->next_pc); + tcg_gen_exit_tb(0); /* no chaining */ + ctx->bstate =3D BS_BRANCH; + } +#endif + break; + case OPC_RISC_SYSTEM: + gen_system(ctx, MASK_OP_SYSTEM(ctx->opcode), rd, rs1, + (ctx->opcode & 0xFFF00000) >> 20); + break; + default: + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + break; + } +} + +static void decode_opc(CPURISCVState *env, DisasContext *ctx) +{ + /* check for compressed insn */ + if (extract32(ctx->opcode, 0, 2) !=3D 3) { + if (!riscv_has_ext(env, RVC)) { + kill_unknown(ctx, RISCV_EXCP_ILLEGAL_INST); + } else { + ctx->next_pc =3D ctx->pc + 2; + decode_RV32_64C(env, ctx); + } + } else { + ctx->next_pc =3D ctx->pc + 4; + decode_RV32_64G(env, ctx); + } +} + +void gen_intermediate_code(CPUState *cs, TranslationBlock *tb) +{ + CPURISCVState *env =3D cs->env_ptr; + DisasContext ctx; + target_ulong pc_start; + target_ulong next_page_start; + int num_insns; + int max_insns; + pc_start =3D tb->pc; + next_page_start =3D (pc_start & TARGET_PAGE_MASK) + TARGET_PAGE_SIZE; + ctx.pc =3D pc_start; + + /* once we have GDB, the rest of the translate.c implementation should= be + ready for singlestep */ + ctx.singlestep_enabled =3D cs->singlestep_enabled; + + ctx.tb =3D tb; + ctx.bstate =3D BS_NONE; + + ctx.mem_idx =3D cpu_mmu_index(env, false); + num_insns =3D 0; + max_insns =3D tb->cflags & CF_COUNT_MASK; + if (max_insns =3D=3D 0) { + max_insns =3D CF_COUNT_MASK; + } + if (max_insns > TCG_MAX_INSNS) { + max_insns =3D TCG_MAX_INSNS; + } + gen_tb_start(tb); + + while (ctx.bstate =3D=3D BS_NONE) { + tcg_gen_insn_start(ctx.pc); + num_insns++; + + if (unlikely(cpu_breakpoint_test(cs, ctx.pc, BP_ANY))) { + tcg_gen_movi_tl(cpu_pc, ctx.pc); + ctx.bstate =3D BS_BRANCH; + gen_helper_raise_exception_debug(cpu_env); + /* The address covered by the breakpoint must be included in + [tb->pc, tb->pc + tb->size) in order to for it to be + properly cleared -- thus we increment the PC here so that + the logic setting tb->size below does the right thing. */ + ctx.pc +=3D 4; + goto done_generating; + } + + if (num_insns =3D=3D max_insns && (tb->cflags & CF_LAST_IO)) { + gen_io_start(); + } + + ctx.opcode =3D cpu_ldl_code(env, ctx.pc); + decode_opc(env, &ctx); + ctx.pc =3D ctx.next_pc; + + if (cs->singlestep_enabled) { + break; + } + if (ctx.pc >=3D next_page_start) { + break; + } + if (tcg_op_buf_full()) { + break; + } + if (num_insns >=3D max_insns) { + break; + } + if (singlestep) { + break; + } + + } + if (tb->cflags & CF_LAST_IO) { + gen_io_end(); + } + if (cs->singlestep_enabled && ctx.bstate !=3D BS_BRANCH) { + if (ctx.bstate =3D=3D BS_NONE) { + tcg_gen_movi_tl(cpu_pc, ctx.pc); + } + gen_helper_raise_exception_debug(cpu_env); + } else { + switch (ctx.bstate) { + case BS_STOP: + gen_goto_tb(&ctx, 0, ctx.pc); + break; + case BS_NONE: /* handle end of page - DO NOT CHAIN. See gen_goto_t= b. */ + tcg_gen_movi_tl(cpu_pc, ctx.pc); + tcg_gen_exit_tb(0); + break; + case BS_BRANCH: /* ops using BS_BRANCH generate own exit seq */ + default: + break; + } + } +done_generating: + gen_tb_end(tb, num_insns); + tb->size =3D ctx.pc - pc_start; + tb->icount =3D num_insns; + +#ifdef DEBUG_DISAS + if (qemu_loglevel_mask(CPU_LOG_TB_IN_ASM) + && qemu_log_in_addr_range(pc_start)) { + qemu_log("IN: %s\n", lookup_symbol(pc_start)); + log_target_disas(cs, pc_start, ctx.pc - pc_start); + qemu_log("\n"); + } +#endif +} + +void riscv_translate_init(void) +{ + int i; + + /* cpu_gpr[0] is a placeholder for the zero register. Do not use it. */ + /* Use the gen_set_gpr and gen_get_gpr helper functions when accessing= */ + /* registers, unless you specifically block reads/writes to reg 0 */ + cpu_gpr[0] =3D NULL; + + for (i =3D 1; i < 32; i++) { + cpu_gpr[i] =3D tcg_global_mem_new(cpu_env, + offsetof(CPURISCVState, gpr[i]), riscv_int_regnames[i]); + } + + for (i =3D 0; i < 32; i++) { + cpu_fpr[i] =3D tcg_global_mem_new_i64(cpu_env, + offsetof(CPURISCVState, fpr[i]), riscv_fpr_regnames[i]); + } + + cpu_pc =3D tcg_global_mem_new(cpu_env, offsetof(CPURISCVState, pc), "p= c"); + load_res =3D tcg_global_mem_new(cpu_env, offsetof(CPURISCVState, load_= res), + "load_res"); + +#ifdef CONFIG_USER_ONLY + cpu_amoinsn =3D tcg_global_mem_new_i32(cpu_env, + offsetof(CPURISCVState, amoinsn), + "amoinsn"); +#endif +} --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515637714535536.7442356425255; Wed, 10 Jan 2018 18:28:34 -0800 (PST) Received: from localhost ([::1]:59928 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSbZ-00078W-Dn for importer@patchew.org; Wed, 10 Jan 2018 21:28:33 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48055) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWi-0003Du-6C for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:34 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWf-0008IY-KL for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:31 -0500 Received: from mail-pg0-x241.google.com ([2607:f8b0:400e:c05::241]:40765) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWf-0008I5-Bs for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:29 -0500 Received: by mail-pg0-x241.google.com with SMTP id q12so1663985pgt.7 for ; Wed, 10 Jan 2018 18:23:29 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.27 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:27 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=iSQMOOCPGlKZDGoyeVbBy0S19fRKjFZXp9LGeIIVvLs=; b=YAz/YC4QAUuh3LL239n019TGEHeZbWTypCxTPgqqftCIcpBg6qHZfvlzL8n4R2AKPV xuxu0mn86zu27I/8rpRnGTrPQOk+pymWTPuSqjQ+KIg3LyvnVDEfTsvcnoFu9RE1wORC uQKNm//SjjZCwnW+5kF/ZgRx7zGh8jaPLsNIeXVQFiSxJzZpvSTNzuaAZA0GbsnKFl/L ewPsOM+jYqSyvICsStMmv9/ukplHWHzIXYwzomPqV1W24ZqXFSNvJHQ9iSVmoORoKuUK hq9OaYmdZXysgdVcvNutBXO6Z8G4QFetOQT5Bv9Nw7uNugwvZVCPumZqiGoFqQhfr5qN O10w== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=iSQMOOCPGlKZDGoyeVbBy0S19fRKjFZXp9LGeIIVvLs=; b=WkpqFBLZftmiyVYURPiJldREqw63i9zNiH1Ocx60Ufy9SJyXcRBpH4cdDAYEMq95Y4 2pUjo92g6sikrCrLibcKpEQvNCkLD4UTA2mURouke8t1wSKivwC1qYvAjOIQS4DsMO7F irw6XSnlrC7nQzfVaTHovVl3q15Wmad9sgvqnxtsWoMPqqNhz2bP+NxCaum7H3Y4TgHT xMfdsdYnyiBFPcnxq5v7ZCykhtybIoeYiBeNROhijkPv8Tqh19GlKQnFy0sQHXVGSB21 X8v0N6ZIHD3d+5r662szJTR109sr242rHL4k2Gd/ZzbgSoHkK8y7A9vyPCJXRoClDP+V 6rHw== X-Gm-Message-State: AKwxytf6f2hUaj+6BGpyxWXMCQQfWOf430Gynd5Dq/xEBoVow83oTGMT cO0iCmgXTbyvSQt/iV/cFKhgMefkZnM= X-Google-Smtp-Source: ACJfBouHAfyDszNmAXbJCilM9sCVutKDIcfZVErD5MaZcGiaWO8C5i34qBFLb9F2pF/2utGV++BZvg== X-Received: by 10.98.225.7 with SMTP id q7mr2464184pfh.22.1515637408247; Wed, 10 Jan 2018 18:23:28 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:52 -0800 Message-Id: <1515637324-96034-10-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c05::241 Subject: [Qemu-devel] [PATCH v3 09/21] RISC-V Physical Memory Protection X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" Implements the physical memory protection extension as specified in Privileged ISA Version 1.10. PMP (Physical Memory Protection) is as-of-yet unused and needs testing. The SiFive verification team have PMP test cases that will be run. Nothing currently depends on PMP support. It would be preferable to keep the code in-tree for folk that are interested in RISC-V PMP support. Signed-off-by: Michael Clark --- target/riscv/pmp.c | 386 +++++++++++++++++++++++++++++++++++++++++++++++++= ++++ target/riscv/pmp.h | 70 ++++++++++ 2 files changed, 456 insertions(+) create mode 100644 target/riscv/pmp.c create mode 100644 target/riscv/pmp.h diff --git a/target/riscv/pmp.c b/target/riscv/pmp.c new file mode 100644 index 0000000..f57a420 --- /dev/null +++ b/target/riscv/pmp.c @@ -0,0 +1,386 @@ +/* + * QEMU RISC-V PMP (Physical Memory Protection) + * + * Author: Daire McNamara, daire.mcnamara@emdalo.com + * Ivan Griffin, ivan.griffin@emdalo.com + * + * This provides a RISC-V Physical Memory Protection implementation + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +/* + * PMP (Physical Memory Protection) is as-of-yet unused and needs testing. + */ + +#include "qemu/osdep.h" +#include "qemu/log.h" +#include "qapi/error.h" +#include "cpu.h" +#include "qemu-common.h" + +#ifndef CONFIG_USER_ONLY + +#define RISCV_DEBUG_PMP 0 +#define PMP_DEBUG(fmt, ...) = \ + do { = \ + if (RISCV_DEBUG_PMP) { = \ + qemu_log_mask(LOG_TRACE, "%s: " fmt, __func__, ##__VA_ARGS__);= \ + } = \ + } while (0) + +static void pmp_write_cfg(CPURISCVState *env, uint32_t addr_index, + uint8_t val); +static uint8_t pmp_read_cfg(CPURISCVState *env, uint32_t addr_index); +static void pmp_update_rule(CPURISCVState *env, uint32_t pmp_index); + +/* + * Accessor method to extract address matching type 'a field' from cfg reg + */ +static inline uint8_t pmp_get_a_field(uint8_t cfg) +{ + uint8_t a =3D cfg >> 3; + return a & 0x3; +} + +/* + * Check whether a PMP is locked or not. + */ +static inline int pmp_is_locked(CPURISCVState *env, uint32_t pmp_index) +{ + + if (env->pmp_state.pmp[pmp_index].cfg_reg & PMP_LOCK) { + return 1; + } + + /* Top PMP has no 'next' to check */ + if ((pmp_index + 1u) >=3D MAX_RISCV_PMPS) { + return 0; + } + + /* In TOR mode, need to check the lock bit of the next pmp + * (if there is a next) + */ + const uint8_t a_field =3D + pmp_get_a_field(env->pmp_state.pmp[pmp_index + 1].cfg_reg); + if ((env->pmp_state.pmp[pmp_index + 1u].cfg_reg & PMP_LOCK) && + (PMP_AMATCH_TOR =3D=3D a_field)) { + return 1; + } + + return 0; +} + +/* + * Count the number of active rules. + */ +static inline uint32_t pmp_get_num_rules(CPURISCVState *env) +{ + return env->pmp_state.num_rules; +} + +/* + * Accessor to get the cfg reg for a specific PMP/HART + */ +static inline uint8_t pmp_read_cfg(CPURISCVState *env, uint32_t pmp_index) +{ + if (pmp_index < MAX_RISCV_PMPS) { + return env->pmp_state.pmp[pmp_index].cfg_reg; + } + + return 0; +} + + +/* + * Accessor to set the cfg reg for a specific PMP/HART + * Bounds checks and relevant lock bit. + */ +static void pmp_write_cfg(CPURISCVState *env, uint32_t pmp_index, uint8_t = val) +{ + if (pmp_index < MAX_RISCV_PMPS) { + if (!pmp_is_locked(env, pmp_index)) { + env->pmp_state.pmp[pmp_index].cfg_reg =3D val; + pmp_update_rule(env, pmp_index); + } else { + PMP_DEBUG("ignoring write - locked"); + } + } else { + PMP_DEBUG("ignoring write - out of bounds"); + } +} + +static void pmp_decode_napot(target_ulong a, target_ulong *sa, target_ulon= g *ea) +{ + /* + aaaa...aaa0 8-byte NAPOT range + aaaa...aa01 16-byte NAPOT range + aaaa...a011 32-byte NAPOT range + ... + aa01...1111 2^XLEN-byte NAPOT range + a011...1111 2^(XLEN+1)-byte NAPOT range + 0111...1111 2^(XLEN+2)-byte NAPOT range + 1111...1111 Reserved + */ + if (a =3D=3D -1) { + *sa =3D 0u; + *ea =3D -1; + return; + } else { + target_ulong t1 =3D ctz64(~a); + target_ulong base =3D (a & ~(((target_ulong)1 << t1) - 1)) << 3; + target_ulong range =3D ((target_ulong)1 << (t1 + 3)) - 1; + *sa =3D base; + *ea =3D base + range; + } +} + + +/* Convert cfg/addr reg values here into simple 'sa' --> start address and= 'ea' + * end address values. + * This function is called relatively infrequently whereas the check that + * an address is within a pmp rule is called often, so optimise that one + */ +static void pmp_update_rule(CPURISCVState *env, uint32_t pmp_index) +{ + int i; + + env->pmp_state.num_rules =3D 0; + + uint8_t this_cfg =3D env->pmp_state.pmp[pmp_index].cfg_reg; + target_ulong this_addr =3D env->pmp_state.pmp[pmp_index].addr_reg; + target_ulong prev_addr =3D 0u; + target_ulong sa =3D 0u; + target_ulong ea =3D 0u; + + if (pmp_index >=3D 1u) { + prev_addr =3D env->pmp_state.pmp[pmp_index - 1].addr_reg; + } + + switch (pmp_get_a_field(this_cfg)) { + case PMP_AMATCH_OFF: + sa =3D 0u; + ea =3D -1; + break; + + case PMP_AMATCH_TOR: + sa =3D prev_addr << 2; /* shift up from [xx:0] to [xx+2:2] */ + ea =3D (this_addr << 2) - 1u; + break; + + case PMP_AMATCH_NA4: + sa =3D this_addr << 2; /* shift up from [xx:0] to [xx+2:2] */ + ea =3D (this_addr + 4u) - 1u; + break; + + case PMP_AMATCH_NAPOT: + pmp_decode_napot(this_addr, &sa, &ea); + break; + + default: + sa =3D 0u; + ea =3D 0u; + break; + } + + env->pmp_state.addr[pmp_index].sa =3D sa; + env->pmp_state.addr[pmp_index].ea =3D ea; + + for (i =3D 0; i < MAX_RISCV_PMPS; i++) { + const uint8_t a_field =3D + pmp_get_a_field(env->pmp_state.pmp[i].cfg_reg); + if (PMP_AMATCH_OFF !=3D a_field) { + env->pmp_state.num_rules++; + } + } +} + +static int pmp_is_in_range(CPURISCVState *env, int pmp_index, target_ulong= addr) +{ + int result =3D 0; + + if ((addr >=3D env->pmp_state.addr[pmp_index].sa) + && (addr <=3D env->pmp_state.addr[pmp_index].ea)) { + result =3D 1; + } else { + result =3D 0; + } + + return result; +} + + +/* + * Public Interface + */ + +/* + * Check if the address has required RWX privs to complete desired operati= on + */ +bool pmp_hart_has_privs(CPURISCVState *env, target_ulong addr, + target_ulong size, pmp_priv_t privs) +{ + int i =3D 0; + int ret =3D -1; + target_ulong s =3D 0; + target_ulong e =3D 0; + pmp_priv_t allowed_privs =3D 0; + + /* Short cut if no rules */ + if (0 =3D=3D pmp_get_num_rules(env)) { + return true; + } + + /* 1.10 draft priv spec states there is an implicit order + from low to high */ + for (i =3D 0; i < MAX_RISCV_PMPS; i++) { + s =3D pmp_is_in_range(env, i, addr); + e =3D pmp_is_in_range(env, i, addr + size); + + /* partially inside */ + if ((s + e) =3D=3D 1) { + PMP_DEBUG("pmp violation - access is partially inside"); + ret =3D 0; + break; + } + + /* fully inside */ + const uint8_t a_field =3D + pmp_get_a_field(env->pmp_state.pmp[i].cfg_reg); + if ((s + e) =3D=3D 2) { + if (PMP_AMATCH_OFF =3D=3D a_field) { + return 1; + } + + allowed_privs =3D PMP_READ | PMP_WRITE | PMP_EXEC; + if ((env->priv !=3D PRV_M) || pmp_is_locked(env, i)) { + allowed_privs &=3D env->pmp_state.pmp[i].cfg_reg; + } + + if ((privs & allowed_privs) =3D=3D privs) { + ret =3D 1; + break; + } else { + ret =3D 0; + break; + } + } + } + + /* No rule matched */ + if (ret =3D=3D -1) { + if (env->priv =3D=3D PRV_M) { + ret =3D 1; /* Privileged spec v1.10 states if no PMP entry mat= ches an + * M-Mode access, the access succeeds */ + } else { + ret =3D 0; /* Other modes are not allowed to succeed if they d= on't + * match a rule, but there are rules. We've checked = for + * no rule earlier in this function. */ + } + } + + return ret =3D=3D 1 ? true : false; +} + + +/* + * Handle a write to a pmpcfg CSP + */ +void pmpcfg_csr_write(CPURISCVState *env, uint32_t reg_index, + target_ulong val) +{ + int i; + uint8_t cfg_val; + + PMP_DEBUG("hart " TARGET_FMT_ld ": reg%d, val: 0x" TARGET_FMT_lx, + env->mhartid, reg_index, val); + + if ((reg_index & 1) && (sizeof(target_ulong) =3D=3D 8)) { + PMP_DEBUG("ignoring write - incorrect address"); + return; + } + + for (i =3D 0; i < sizeof(target_ulong); i++) { + cfg_val =3D (val >> 8 * i) & 0xff; + pmp_write_cfg(env, (reg_index * sizeof(target_ulong)) + i, + cfg_val); + } +} + + +/* + * Handle a read from a pmpcfg CSP + */ +target_ulong pmpcfg_csr_read(CPURISCVState *env, uint32_t reg_index) +{ + int i; + target_ulong cfg_val =3D 0; + uint8_t val =3D 0; + + for (i =3D 0; i < sizeof(target_ulong); i++) { + val =3D pmp_read_cfg(env, (reg_index * sizeof(target_ulong)) + i); + cfg_val |=3D (val << (i * 8)); + } + + PMP_DEBUG("hart " TARGET_FMT_ld ": reg%d, val: 0x" TARGET_FMT_lx, + env->mhartid, reg_index, cfg_val); + + return cfg_val; +} + + +/* + * Handle a write to a pmpaddr CSP + */ +void pmpaddr_csr_write(CPURISCVState *env, uint32_t addr_index, + target_ulong val) +{ + PMP_DEBUG("hart " TARGET_FMT_ld ": addr%d, val: 0x" TARGET_FMT_lx, + env->mhartid, addr_index, val); + + if (addr_index < MAX_RISCV_PMPS) { + if (!pmp_is_locked(env, addr_index)) { + env->pmp_state.pmp[addr_index].addr_reg =3D val; + pmp_update_rule(env, addr_index); + } else { + PMP_DEBUG("ignoring write - locked"); + } + } else { + PMP_DEBUG("ignoring write - out of bounds"); + } +} + + +/* + * Handle a read from a pmpaddr CSP + */ +target_ulong pmpaddr_csr_read(CPURISCVState *env, uint32_t addr_index) +{ + PMP_DEBUG("hart " TARGET_FMT_ld ": addr%d, val: 0x" TARGET_FMT_lx, + env->mhartid, addr_index, + env->pmp_state.pmp[addr_index].addr_reg); + if (addr_index < MAX_RISCV_PMPS) { + return env->pmp_state.pmp[addr_index].addr_reg; + } else { + PMP_DEBUG("ignoring read - out of bounds"); + return 0; + } +} + +#endif diff --git a/target/riscv/pmp.h b/target/riscv/pmp.h new file mode 100644 index 0000000..9f2c32d --- /dev/null +++ b/target/riscv/pmp.h @@ -0,0 +1,70 @@ +/* + * QEMU RISC-V PMP (Physical Memory Protection) + * + * Author: Daire McNamara, daire.mcnamara@emdalo.com + * Ivan Griffin, ivan.griffin@emdalo.com + * + * This provides a RISC-V Physical Memory Protection interface + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#ifndef _RISCV_PMP_H_ +#define _RISCV_PMP_H_ + +typedef enum { + PMP_READ =3D 1 << 0, + PMP_WRITE =3D 1 << 1, + PMP_EXEC =3D 1 << 2, + PMP_LOCK =3D 1 << 7 +} pmp_priv_t; + +typedef enum { + PMP_AMATCH_OFF, /* Null (off) */ + PMP_AMATCH_TOR, /* Top of Range */ + PMP_AMATCH_NA4, /* Naturally aligned four-byte region */ + PMP_AMATCH_NAPOT /* Naturally aligned power-of-two region */ +} pmp_am_t; + +typedef struct { + target_ulong addr_reg; + uint8_t cfg_reg; +} pmp_entry_t; + +typedef struct { + target_ulong sa; + target_ulong ea; +} pmp_addr_t; + +typedef struct { + pmp_entry_t pmp[MAX_RISCV_PMPS]; + pmp_addr_t addr[MAX_RISCV_PMPS]; + uint32_t num_rules; +} pmp_table_t; + +void pmpcfg_csr_write(CPURISCVState *env, uint32_t reg_index, + target_ulong val); +target_ulong pmpcfg_csr_read(CPURISCVState *env, uint32_t reg_index); +void pmpaddr_csr_write(CPURISCVState *env, uint32_t addr_index, + target_ulong val); +target_ulong pmpaddr_csr_read(CPURISCVState *env, uint32_t addr_index); +bool pmp_hart_has_privs(CPURISCVState *env, target_ulong addr, + target_ulong size, pmp_priv_t priv); + +#endif --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515637920122976.9040660293883; Wed, 10 Jan 2018 18:32:00 -0800 (PST) Received: from localhost ([::1]:59950 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSet-0001dm-1t for importer@patchew.org; Wed, 10 Jan 2018 21:31:59 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48146) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWn-0003JR-Jb for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:43 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWh-0008JP-5k for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:37 -0500 Received: from mail-pg0-x243.google.com ([2607:f8b0:400e:c05::243]:34028) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWg-0008Is-Oi for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:31 -0500 Received: by mail-pg0-x243.google.com with SMTP id j4so1683619pgp.1 for ; Wed, 10 Jan 2018 18:23:30 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.28 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:28 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=rjAcBjnHoK2UlCrPp0pwbafDW7rECVx7/H+ygEA99ak=; b=N3h/gn80GpMhGfFJwo5UVsXhwGlZdGNtoVJDoT6Vo52lXdpgq5Zs+uo4NgXANZH4Z5 INQntrhmLazh4EJpr+Z7rm7uH4ziFVf1dooq1OiszJGMKN3Oa39EiN6P8llQMcssI7Bn FMoByOBSrIjK226rssWXvvpIoZYZ3qiRpjvy8KD+2epg/SKzQeYDAT96qOrAMaAt83UW WmdSXE9N8hP/owx26DqBePSDUK0CyBl/YP5jAtFvqyhj50r0P04ld9INRwn9yL9uaYtr MniIKWYY2oTHwyax4ibx8LJyIwEJhRyKM23m4+AX2Tu4XThSlitvoxzJ7luXLr58/fIC OVvw== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=rjAcBjnHoK2UlCrPp0pwbafDW7rECVx7/H+ygEA99ak=; b=sDLII9Fq1qA0SSEWde2H6MQQ5EsKhLHVbs+hFLbNH7nmjOjmigbwu4CM1Rj90gb0vJ deBW7YwQ+pwgDMbtifyA7zd6hvXrhyuvc1ls8Dv32zgroprYFLF+5KewgCkzc/MUkKoH XKzGqrKRKfRowG1UWMTTPR0EKz9VioAXCw3yBtBpsJSqIHy+Tfm9Bb4HcFMoulcu5hCq Dp1fh802s1iAKkwVCBdM792H7zzMQ7uMZ7XlH2utIq1tSvhfAb49AoMtMUN1xiNpAsMj MXvCQIxIsmjOxLor0mVh6OKTvAEuGHQpok7M8amN290rY68LmlYtG/ztOW0P41jTa4Za a85g== X-Gm-Message-State: AKwxytdD6ufu7McTOA0GsoqIcdP8G+1LV5LisRSPyXWF2KFjKLALbrX9 064bxHu+PTIxZTA6vMKYu5FUhHYq54Y= X-Google-Smtp-Source: ACJfBov/1Js1psaUFPlKXwqh0dXgpv8E3I6XJhejOd31VixH2Le0bA/IoeawdreQf5E6n5qoYT2eSA== X-Received: by 10.98.82.68 with SMTP id g65mr3966020pfb.212.1515637409226; Wed, 10 Jan 2018 18:23:29 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:53 -0800 Message-Id: <1515637324-96034-11-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c05::243 Subject: [Qemu-devel] [PATCH v3 10/21] RISC-V Linux User Emulation X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" Implementation of linux user emulation for RISC-V. Signed-off-by: Michael Clark --- linux-user/elfload.c | 22 +++ linux-user/main.c | 114 +++++++++++++++ linux-user/riscv/syscall_nr.h | 275 +++++++++++++++++++++++++++++++++++ linux-user/riscv/target_cpu.h | 18 +++ linux-user/riscv/target_signal.h | 23 +++ linux-user/riscv/target_structs.h | 46 ++++++ linux-user/riscv/target_syscall.h | 56 ++++++++ linux-user/riscv/termbits.h | 220 ++++++++++++++++++++++++++++ linux-user/signal.c | 203 +++++++++++++++++++++++++- linux-user/syscall.c | 2 + linux-user/syscall_defs.h | 13 +- target/riscv/cpu_user.h | 26 ++++ target/riscv/user_atomic.c | 291 ++++++++++++++++++++++++++++++++++= ++++ 13 files changed, 1303 insertions(+), 6 deletions(-) create mode 100644 linux-user/riscv/syscall_nr.h create mode 100644 linux-user/riscv/target_cpu.h create mode 100644 linux-user/riscv/target_signal.h create mode 100644 linux-user/riscv/target_structs.h create mode 100644 linux-user/riscv/target_syscall.h create mode 100644 linux-user/riscv/termbits.h create mode 100644 target/riscv/cpu_user.h create mode 100644 target/riscv/user_atomic.c diff --git a/linux-user/elfload.c b/linux-user/elfload.c index 20f3d8c..178af56 100644 --- a/linux-user/elfload.c +++ b/linux-user/elfload.c @@ -1272,6 +1272,28 @@ static inline void init_thread(struct target_pt_regs= *regs, =20 #endif /* TARGET_TILEGX */ =20 +#ifdef TARGET_RISCV + +#define ELF_START_MMAP 0x80000000 +#define ELF_ARCH EM_RISCV + +#ifdef TARGET_RISCV32 +#define ELF_CLASS ELFCLASS32 +#else +#define ELF_CLASS ELFCLASS64 +#endif + +static inline void init_thread(struct target_pt_regs *regs, + struct image_info *infop) +{ + regs->sepc =3D infop->entry; + regs->sp =3D infop->start_stack; +} + +#define ELF_EXEC_PAGESIZE 4096 + +#endif /* TARGET_RISCV */ + #ifdef TARGET_HPPA =20 #define ELF_START_MMAP 0x80000000 diff --git a/linux-user/main.c b/linux-user/main.c index 99a551b..2b8fcc2 100644 --- a/linux-user/main.c +++ b/linux-user/main.c @@ -3647,6 +3647,115 @@ void cpu_loop(CPUTLGState *env) =20 #endif =20 +#ifdef TARGET_RISCV + +void cpu_loop(CPURISCVState *env) +{ + CPUState *cs =3D CPU(riscv_env_get_cpu(env)); + int trapnr, signum, sigcode; + target_ulong sigaddr; + target_ulong ret; + + for (;;) { + cpu_exec_start(cs); + trapnr =3D cpu_exec(cs); + cpu_exec_end(cs); + + signum =3D 0; + sigcode =3D 0; + sigaddr =3D 0; + + switch (trapnr) { + case EXCP_INTERRUPT: + /* just indicate that signals should be handled asap */ + break; + case RISCV_EXCP_U_ECALL: + env->pc +=3D 4; + if (env->gpr[xA7] =3D=3D TARGET_NR_arch_specific_syscall + 15)= { + /* riscv_flush_icache_syscall is a no-op in QEMU as + self-modifying code is automatically detected */ + ret =3D 0; + } else { + ret =3D do_syscall(env, + env->gpr[xA7], + env->gpr[xA0], + env->gpr[xA1], + env->gpr[xA2], + env->gpr[xA3], + env->gpr[xA4], + env->gpr[xA5], + 0, 0); + } + if (ret =3D=3D -TARGET_ERESTARTSYS) { + env->pc -=3D 4; + } else if (ret !=3D -TARGET_QEMU_ESIGRETURN) { + env->gpr[xA0] =3D ret; + } + if (cs->singlestep_enabled) { + goto gdbstep; + } + break; + case QEMU_USER_EXCP_ATOMIC: + start_exclusive(); + switch (riscv_cpu_do_usermode_amo(cs)) { + case RISCV_AMO_OK: + env->pc +=3D 4; + break; + case RISCV_AMO_BADADDR: + signum =3D TARGET_SIGSEGV; + sigcode =3D TARGET_SEGV_MAPERR; + sigaddr =3D env->badaddr; + break; + case RISCV_AMO_BADINSN: + default: + signum =3D TARGET_SIGILL; + sigcode =3D TARGET_ILL_ILLOPC; + } + end_exclusive(); + if (cs->singlestep_enabled) { + goto gdbstep; + } + break; + case RISCV_EXCP_ILLEGAL_INST: + signum =3D TARGET_SIGILL; + sigcode =3D TARGET_ILL_ILLOPC; + break; + case RISCV_EXCP_BREAKPOINT: + signum =3D TARGET_SIGTRAP; + sigcode =3D TARGET_TRAP_BRKPT; + sigaddr =3D env->pc; + break; + case QEMU_USER_EXCP_FAULT: + signum =3D TARGET_SIGSEGV; + sigcode =3D TARGET_SEGV_MAPERR; + break; + case EXCP_DEBUG: + gdbstep: + signum =3D gdb_handlesig(cs, TARGET_SIGTRAP); + sigcode =3D TARGET_TRAP_BRKPT; + break; + default: + EXCP_DUMP(env, "\nqemu: unhandled CPU exception %#x - aborting= \n", + trapnr); + exit(EXIT_FAILURE); + } + + if (signum) { + target_siginfo_t info =3D { + .si_signo =3D signum, + .si_errno =3D 0, + .si_code =3D sigcode, + ._sifields._sigfault._addr =3D sigaddr + }; + queue_signal(env, info.si_signo, QEMU_SI_KILL, &info); + } + + process_pending_signals(env); + } +} + +#endif /* TARGET_RISCV */ + #ifdef TARGET_HPPA =20 static abi_ulong hppa_lws(CPUHPPAState *env) @@ -4809,6 +4918,11 @@ int main(int argc, char **argv, char **envp) env->pc =3D regs->pc; cpu_set_sr(env, regs->sr); } +#elif defined(TARGET_RISCV) + { + env->pc =3D regs->sepc; + env->gpr[xSP] =3D regs->sp; + } #elif defined(TARGET_SH4) { int i; diff --git a/linux-user/riscv/syscall_nr.h b/linux-user/riscv/syscall_nr.h new file mode 100644 index 0000000..bd164ef --- /dev/null +++ b/linux-user/riscv/syscall_nr.h @@ -0,0 +1,275 @@ +/* + * Syscall numbers from asm-generic, common for most + * of recently-added arches including RISC-V. + */ + +#define TARGET_NR_io_setup 0 +#define TARGET_NR_io_destroy 1 +#define TARGET_NR_io_submit 2 +#define TARGET_NR_io_cancel 3 +#define TARGET_NR_io_getevents 4 +#define TARGET_NR_setxattr 5 +#define TARGET_NR_lsetxattr 6 +#define TARGET_NR_fsetxattr 7 +#define TARGET_NR_getxattr 8 +#define TARGET_NR_lgetxattr 9 +#define TARGET_NR_fgetxattr 10 +#define TARGET_NR_listxattr 11 +#define TARGET_NR_llistxattr 12 +#define TARGET_NR_flistxattr 13 +#define TARGET_NR_removexattr 14 +#define TARGET_NR_lremovexattr 15 +#define TARGET_NR_fremovexattr 16 +#define TARGET_NR_getcwd 17 +#define TARGET_NR_lookup_dcookie 18 +#define TARGET_NR_eventfd2 19 +#define TARGET_NR_epoll_create1 20 +#define TARGET_NR_epoll_ctl 21 +#define TARGET_NR_epoll_pwait 22 +#define TARGET_NR_dup 23 +#define TARGET_NR_dup3 24 +#ifdef TARGET_RISCV32 +#define TARGET_NR_fcntl64 25 +#else +#define TARGET_NR_fcntl 25 +#endif +#define TARGET_NR_inotify_init1 26 +#define TARGET_NR_inotify_add_watch 27 +#define TARGET_NR_inotify_rm_watch 28 +#define TARGET_NR_ioctl 29 +#define TARGET_NR_ioprio_set 30 +#define TARGET_NR_ioprio_get 31 +#define TARGET_NR_flock 32 +#define TARGET_NR_mknodat 33 +#define TARGET_NR_mkdirat 34 +#define TARGET_NR_unlinkat 35 +#define TARGET_NR_symlinkat 36 +#define TARGET_NR_linkat 37 +#define TARGET_NR_renameat 38 +#define TARGET_NR_umount2 39 +#define TARGET_NR_mount 40 +#define TARGET_NR_pivot_root 41 +#define TARGET_NR_nfsservctl 42 +#define TARGET_NR_statfs 43 +#define TARGET_NR_fstatfs 44 +#define TARGET_NR_truncate 45 +#define TARGET_NR_ftruncate 46 +#define TARGET_NR_fallocate 47 +#define TARGET_NR_faccessat 48 +#define TARGET_NR_chdir 49 +#define TARGET_NR_fchdir 50 +#define TARGET_NR_chroot 51 +#define TARGET_NR_fchmod 52 +#define TARGET_NR_fchmodat 53 +#define TARGET_NR_fchownat 54 +#define TARGET_NR_fchown 55 +#define TARGET_NR_openat 56 +#define TARGET_NR_close 57 +#define TARGET_NR_vhangup 58 +#define TARGET_NR_pipe2 59 +#define TARGET_NR_quotactl 60 +#define TARGET_NR_getdents64 61 +#define TARGET_NR_lseek 62 +#define TARGET_NR_read 63 +#define TARGET_NR_write 64 +#define TARGET_NR_readv 65 +#define TARGET_NR_writev 66 +#define TARGET_NR_pread64 67 +#define TARGET_NR_pwrite64 68 +#define TARGET_NR_preadv 69 +#define TARGET_NR_pwritev 70 +#define TARGET_NR_sendfile 71 +#define TARGET_NR_pselect6 72 +#define TARGET_NR_ppoll 73 +#define TARGET_NR_signalfd4 74 +#define TARGET_NR_vmsplice 75 +#define TARGET_NR_splice 76 +#define TARGET_NR_tee 77 +#define TARGET_NR_readlinkat 78 +#define TARGET_NR_newfstatat 79 +#define TARGET_NR_fstat 80 +#define TARGET_NR_sync 81 +#define TARGET_NR_fsync 82 +#define TARGET_NR_fdatasync 83 +#define TARGET_NR_sync_file_range 84 +#define TARGET_NR_timerfd_create 85 +#define TARGET_NR_timerfd_settime 86 +#define TARGET_NR_timerfd_gettime 87 +#define TARGET_NR_utimensat 88 +#define TARGET_NR_acct 89 +#define TARGET_NR_capget 90 +#define TARGET_NR_capset 91 +#define TARGET_NR_personality 92 +#define TARGET_NR_exit 93 +#define TARGET_NR_exit_group 94 +#define TARGET_NR_waitid 95 +#define TARGET_NR_set_tid_address 96 +#define TARGET_NR_unshare 97 +#define TARGET_NR_futex 98 +#define TARGET_NR_set_robust_list 99 +#define TARGET_NR_get_robust_list 100 +#define TARGET_NR_nanosleep 101 +#define TARGET_NR_getitimer 102 +#define TARGET_NR_setitimer 103 +#define TARGET_NR_kexec_load 104 +#define TARGET_NR_init_module 105 +#define TARGET_NR_delete_module 106 +#define TARGET_NR_timer_create 107 +#define TARGET_NR_timer_gettime 108 +#define TARGET_NR_timer_getoverrun 109 +#define TARGET_NR_timer_settime 110 +#define TARGET_NR_timer_delete 111 +#define TARGET_NR_clock_settime 112 +#define TARGET_NR_clock_gettime 113 +#define TARGET_NR_clock_getres 114 +#define TARGET_NR_clock_nanosleep 115 +#define TARGET_NR_syslog 116 +#define TARGET_NR_ptrace 117 +#define TARGET_NR_sched_setparam 118 +#define TARGET_NR_sched_setscheduler 119 +#define TARGET_NR_sched_getscheduler 120 +#define TARGET_NR_sched_getparam 121 +#define TARGET_NR_sched_setaffinity 122 +#define TARGET_NR_sched_getaffinity 123 +#define TARGET_NR_sched_yield 124 +#define TARGET_NR_sched_get_priority_max 125 +#define TARGET_NR_sched_get_priority_min 126 +#define TARGET_NR_sched_rr_get_interval 127 +#define TARGET_NR_restart_syscall 128 +#define TARGET_NR_kill 129 +#define TARGET_NR_tkill 130 +#define TARGET_NR_tgkill 131 +#define TARGET_NR_sigaltstack 132 +#define TARGET_NR_rt_sigsuspend 133 +#define TARGET_NR_rt_sigaction 134 +#define TARGET_NR_rt_sigprocmask 135 +#define TARGET_NR_rt_sigpending 136 +#define TARGET_NR_rt_sigtimedwait 137 +#define TARGET_NR_rt_sigqueueinfo 138 +#define TARGET_NR_rt_sigreturn 139 +#define TARGET_NR_setpriority 140 +#define TARGET_NR_getpriority 141 +#define TARGET_NR_reboot 142 +#define TARGET_NR_setregid 143 +#define TARGET_NR_setgid 144 +#define TARGET_NR_setreuid 145 +#define TARGET_NR_setuid 146 +#define TARGET_NR_setresuid 147 +#define TARGET_NR_getresuid 148 +#define TARGET_NR_setresgid 149 +#define TARGET_NR_getresgid 150 +#define TARGET_NR_setfsuid 151 +#define TARGET_NR_setfsgid 152 +#define TARGET_NR_times 153 +#define TARGET_NR_setpgid 154 +#define TARGET_NR_getpgid 155 +#define TARGET_NR_getsid 156 +#define TARGET_NR_setsid 157 +#define TARGET_NR_getgroups 158 +#define TARGET_NR_setgroups 159 +#define TARGET_NR_uname 160 +#define TARGET_NR_sethostname 161 +#define TARGET_NR_setdomainname 162 +#define TARGET_NR_getrlimit 163 +#define TARGET_NR_setrlimit 164 +#define TARGET_NR_getrusage 165 +#define TARGET_NR_umask 166 +#define TARGET_NR_prctl 167 +#define TARGET_NR_getcpu 168 +#define TARGET_NR_gettimeofday 169 +#define TARGET_NR_settimeofday 170 +#define TARGET_NR_adjtimex 171 +#define TARGET_NR_getpid 172 +#define TARGET_NR_getppid 173 +#define TARGET_NR_getuid 174 +#define TARGET_NR_geteuid 175 +#define TARGET_NR_getgid 176 +#define TARGET_NR_getegid 177 +#define TARGET_NR_gettid 178 +#define TARGET_NR_sysinfo 179 +#define TARGET_NR_mq_open 180 +#define TARGET_NR_mq_unlink 181 +#define TARGET_NR_mq_timedsend 182 +#define TARGET_NR_mq_timedreceive 183 +#define TARGET_NR_mq_notify 184 +#define TARGET_NR_mq_getsetattr 185 +#define TARGET_NR_msgget 186 +#define TARGET_NR_msgctl 187 +#define TARGET_NR_msgrcv 188 +#define TARGET_NR_msgsnd 189 +#define TARGET_NR_semget 190 +#define TARGET_NR_semctl 191 +#define TARGET_NR_semtimedop 192 +#define TARGET_NR_semop 193 +#define TARGET_NR_shmget 194 +#define TARGET_NR_shmctl 195 +#define TARGET_NR_shmat 196 +#define TARGET_NR_shmdt 197 +#define TARGET_NR_socket 198 +#define TARGET_NR_socketpair 199 +#define TARGET_NR_bind 200 +#define TARGET_NR_listen 201 +#define TARGET_NR_accept 202 +#define TARGET_NR_connect 203 +#define TARGET_NR_getsockname 204 +#define TARGET_NR_getpeername 205 +#define TARGET_NR_sendto 206 +#define TARGET_NR_recvfrom 207 +#define TARGET_NR_setsockopt 208 +#define TARGET_NR_getsockopt 209 +#define TARGET_NR_shutdown 210 +#define TARGET_NR_sendmsg 211 +#define TARGET_NR_recvmsg 212 +#define TARGET_NR_readahead 213 +#define TARGET_NR_brk 214 +#define TARGET_NR_munmap 215 +#define TARGET_NR_mremap 216 +#define TARGET_NR_add_key 217 +#define TARGET_NR_request_key 218 +#define TARGET_NR_keyctl 219 +#define TARGET_NR_clone 220 +#define TARGET_NR_execve 221 +#ifdef TARGET_RISCV32 +#define TARGET_NR_mmap2 222 +#define TARGET_NR_fadvise64_64 223 +#else +#define TARGET_NR_mmap 222 +#define TARGET_NR_fadvise64 223 +#endif +#define TARGET_NR_swapon 224 +#define TARGET_NR_swapoff 225 +#define TARGET_NR_mprotect 226 +#define TARGET_NR_msync 227 +#define TARGET_NR_mlock 228 +#define TARGET_NR_munlock 229 +#define TARGET_NR_mlockall 230 +#define TARGET_NR_munlockall 231 +#define TARGET_NR_mincore 232 +#define TARGET_NR_madvise 233 +#define TARGET_NR_remap_file_pages 234 +#define TARGET_NR_mbind 235 +#define TARGET_NR_get_mempolicy 236 +#define TARGET_NR_set_mempolicy 237 +#define TARGET_NR_migrate_pages 238 +#define TARGET_NR_move_pages 239 +#define TARGET_NR_rt_tgsigqueueinfo 240 +#define TARGET_NR_perf_event_open 241 +#define TARGET_NR_accept4 242 +#define TARGET_NR_recvmmsg 243 +#define TARGET_NR_arch_specific_syscall 244 +#define TARGET_NR_wait4 260 +#define TARGET_NR_prlimit64 261 +#define TARGET_NR_fanotify_init 262 +#define TARGET_NR_fanotify_mark 263 +#define TARGET_NR_name_to_handle_at 264 +#define TARGET_NR_open_by_handle_at 265 +#define TARGET_NR_clock_adjtime 266 +#define TARGET_NR_syncfs 267 +#define TARGET_NR_setns 268 +#define TARGET_NR_sendmmsg 269 +#define TARGET_NR_process_vm_readv 270 +#define TARGET_NR_process_vm_writev 271 +#define TARGET_NR_kcmp 272 +#define TARGET_NR_finit_module 273 + +#define TARGET_NR_syscalls (__NR_finit_module + 1) diff --git a/linux-user/riscv/target_cpu.h b/linux-user/riscv/target_cpu.h new file mode 100644 index 0000000..c5549b1 --- /dev/null +++ b/linux-user/riscv/target_cpu.h @@ -0,0 +1,18 @@ +#ifndef TARGET_CPU_H +#define TARGET_CPU_H + +static inline void cpu_clone_regs(CPURISCVState *env, target_ulong newsp) +{ + if (newsp) { + env->gpr[xSP] =3D newsp; + } + + env->gpr[xA0] =3D 0; +} + +static inline void cpu_set_tls(CPURISCVState *env, target_ulong newtls) +{ + env->gpr[xTP] =3D newtls; +} + +#endif diff --git a/linux-user/riscv/target_signal.h b/linux-user/riscv/target_sig= nal.h new file mode 100644 index 0000000..ce77f75 --- /dev/null +++ b/linux-user/riscv/target_signal.h @@ -0,0 +1,23 @@ +#ifndef TARGET_SIGNAL_H +#define TARGET_SIGNAL_H + +#include "cpu.h" + +typedef struct target_sigaltstack { + abi_ulong ss_sp; + abi_int ss_flags; + abi_ulong ss_size; +} target_stack_t; + +#define TARGET_SS_ONSTACK 1 +#define TARGET_SS_DISABLE 2 + +#define TARGET_MINSIGSTKSZ 2048 +#define TARGET_SIGSTKSZ 8192 + +static inline abi_ulong get_sp_from_cpustate(CPURISCVState *state) +{ + return state->gpr[xSP]; +} + +#endif /* TARGET_SIGNAL_H */ diff --git a/linux-user/riscv/target_structs.h b/linux-user/riscv/target_st= ructs.h new file mode 100644 index 0000000..4f0462c --- /dev/null +++ b/linux-user/riscv/target_structs.h @@ -0,0 +1,46 @@ +/* + * RISC-V specific structures for linux-user + * + * This is a copy of ../aarch64/target_structs.h atm. + * + */ +#ifndef TARGET_STRUCTS_H +#define TARGET_STRUCTS_H + +struct target_ipc_perm { + abi_int __key; /* Key. */ + abi_uint uid; /* Owner's user ID. */ + abi_uint gid; /* Owner's group ID. */ + abi_uint cuid; /* Creator's user ID. */ + abi_uint cgid; /* Creator's group ID. */ + abi_ushort mode; /* Read/write permission. */ + abi_ushort __pad1; + abi_ushort __seq; /* Sequence number. */ + abi_ushort __pad2; + abi_ulong __unused1; + abi_ulong __unused2; +}; + +struct target_shmid_ds { + struct target_ipc_perm shm_perm; /* operation permission struct */ + abi_long shm_segsz; /* size of segment in bytes */ + abi_ulong shm_atime; /* time of last shmat() */ +#if TARGET_ABI_BITS =3D=3D 32 + abi_ulong __unused1; +#endif + abi_ulong shm_dtime; /* time of last shmdt() */ +#if TARGET_ABI_BITS =3D=3D 32 + abi_ulong __unused2; +#endif + abi_ulong shm_ctime; /* time of last change by shmctl()= */ +#if TARGET_ABI_BITS =3D=3D 32 + abi_ulong __unused3; +#endif + abi_int shm_cpid; /* pid of creator */ + abi_int shm_lpid; /* pid of last shmop */ + abi_ulong shm_nattch; /* number of current attaches */ + abi_ulong __unused4; + abi_ulong __unused5; +}; + +#endif diff --git a/linux-user/riscv/target_syscall.h b/linux-user/riscv/target_sy= scall.h new file mode 100644 index 0000000..d4e109a --- /dev/null +++ b/linux-user/riscv/target_syscall.h @@ -0,0 +1,56 @@ +/* + * This struct defines the way the registers are stored on the + * stack during a system call. + * + * Reference: linux/arch/riscv/include/uapi/asm/ptrace.h + */ + +struct target_pt_regs { + abi_long sepc; + abi_long ra; + abi_long sp; + abi_long gp; + abi_long tp; + abi_long t0; + abi_long t1; + abi_long t2; + abi_long s0; + abi_long s1; + abi_long a0; + abi_long a1; + abi_long a2; + abi_long a3; + abi_long a4; + abi_long a5; + abi_long a6; + abi_long a7; + abi_long s2; + abi_long s3; + abi_long s4; + abi_long s5; + abi_long s6; + abi_long s7; + abi_long s8; + abi_long s9; + abi_long s10; + abi_long s11; + abi_long t3; + abi_long t4; + abi_long t5; + abi_long t6; +}; + +#ifdef TARGET_RISCV32 +#define UNAME_MACHINE "riscv32" +#else +#define UNAME_MACHINE "riscv64" +#endif +#define UNAME_MINIMUM_RELEASE "3.8.0" + +#define TARGET_MINSIGSTKSZ 2048 +#define TARGET_MLOCKALL_MCL_CURRENT 1 +#define TARGET_MLOCKALL_MCL_FUTURE 2 + +/* clone(flags, newsp, ptidptr, tls, ctidptr) for RISC-V */ +/* This comes from linux/kernel/fork.c, CONFIG_CLONE_BACKWARDS */ +#define TARGET_CLONE_BACKWARDS diff --git a/linux-user/riscv/termbits.h b/linux-user/riscv/termbits.h new file mode 100644 index 0000000..ec49dbf --- /dev/null +++ b/linux-user/riscv/termbits.h @@ -0,0 +1,220 @@ +/* from asm/termbits.h */ +/* NOTE: exactly the same as i386 */ + +#define TARGET_NCCS 19 + +struct target_termios { + unsigned int c_iflag; /* input mode flags */ + unsigned int c_oflag; /* output mode flags */ + unsigned int c_cflag; /* control mode flags */ + unsigned int c_lflag; /* local mode flags */ + unsigned char c_line; /* line discipline */ + unsigned char c_cc[TARGET_NCCS]; /* control characters */ +}; + +/* c_iflag bits */ +#define TARGET_IGNBRK 0000001 +#define TARGET_BRKINT 0000002 +#define TARGET_IGNPAR 0000004 +#define TARGET_PARMRK 0000010 +#define TARGET_INPCK 0000020 +#define TARGET_ISTRIP 0000040 +#define TARGET_INLCR 0000100 +#define TARGET_IGNCR 0000200 +#define TARGET_ICRNL 0000400 +#define TARGET_IUCLC 0001000 +#define TARGET_IXON 0002000 +#define TARGET_IXANY 0004000 +#define TARGET_IXOFF 0010000 +#define TARGET_IMAXBEL 0020000 +#define TARGET_IUTF8 0040000 + +/* c_oflag bits */ +#define TARGET_OPOST 0000001 +#define TARGET_OLCUC 0000002 +#define TARGET_ONLCR 0000004 +#define TARGET_OCRNL 0000010 +#define TARGET_ONOCR 0000020 +#define TARGET_ONLRET 0000040 +#define TARGET_OFILL 0000100 +#define TARGET_OFDEL 0000200 +#define TARGET_NLDLY 0000400 +#define TARGET_NL0 0000000 +#define TARGET_NL1 0000400 +#define TARGET_CRDLY 0003000 +#define TARGET_CR0 0000000 +#define TARGET_CR1 0001000 +#define TARGET_CR2 0002000 +#define TARGET_CR3 0003000 +#define TARGET_TABDLY 0014000 +#define TARGET_TAB0 0000000 +#define TARGET_TAB1 0004000 +#define TARGET_TAB2 0010000 +#define TARGET_TAB3 0014000 +#define TARGET_XTABS 0014000 +#define TARGET_BSDLY 0020000 +#define TARGET_BS0 0000000 +#define TARGET_BS1 0020000 +#define TARGET_VTDLY 0040000 +#define TARGET_VT0 0000000 +#define TARGET_VT1 0040000 +#define TARGET_FFDLY 0100000 +#define TARGET_FF0 0000000 +#define TARGET_FF1 0100000 + +/* c_cflag bit meaning */ +#define TARGET_CBAUD 0010017 +#define TARGET_B0 0000000 /* hang up */ +#define TARGET_B50 0000001 +#define TARGET_B75 0000002 +#define TARGET_B110 0000003 +#define TARGET_B134 0000004 +#define TARGET_B150 0000005 +#define TARGET_B200 0000006 +#define TARGET_B300 0000007 +#define TARGET_B600 0000010 +#define TARGET_B1200 0000011 +#define TARGET_B1800 0000012 +#define TARGET_B2400 0000013 +#define TARGET_B4800 0000014 +#define TARGET_B9600 0000015 +#define TARGET_B19200 0000016 +#define TARGET_B38400 0000017 +#define TARGET_EXTA B19200 +#define TARGET_EXTB B38400 +#define TARGET_CSIZE 0000060 +#define TARGET_CS5 0000000 +#define TARGET_CS6 0000020 +#define TARGET_CS7 0000040 +#define TARGET_CS8 0000060 +#define TARGET_CSTOPB 0000100 +#define TARGET_CREAD 0000200 +#define TARGET_PARENB 0000400 +#define TARGET_PARODD 0001000 +#define TARGET_HUPCL 0002000 +#define TARGET_CLOCAL 0004000 +#define TARGET_CBAUDEX 0010000 +#define TARGET_B57600 0010001 +#define TARGET_B115200 0010002 +#define TARGET_B230400 0010003 +#define TARGET_B460800 0010004 +#define TARGET_CIBAUD 002003600000 /* input baud rate (not used) */ +#define TARGET_CMSPAR 010000000000 /* mark or space (stick) parity */ +#define TARGET_CRTSCTS 020000000000 /* flow control */ + +/* c_lflag bits */ +#define TARGET_ISIG 0000001 +#define TARGET_ICANON 0000002 +#define TARGET_XCASE 0000004 +#define TARGET_ECHO 0000010 +#define TARGET_ECHOE 0000020 +#define TARGET_ECHOK 0000040 +#define TARGET_ECHONL 0000100 +#define TARGET_NOFLSH 0000200 +#define TARGET_TOSTOP 0000400 +#define TARGET_ECHOCTL 0001000 +#define TARGET_ECHOPRT 0002000 +#define TARGET_ECHOKE 0004000 +#define TARGET_FLUSHO 0010000 +#define TARGET_PENDIN 0040000 +#define TARGET_IEXTEN 0100000 + +/* c_cc character offsets */ +#define TARGET_VINTR 0 +#define TARGET_VQUIT 1 +#define TARGET_VERASE 2 +#define TARGET_VKILL 3 +#define TARGET_VEOF 4 +#define TARGET_VTIME 5 +#define TARGET_VMIN 6 +#define TARGET_VSWTC 7 +#define TARGET_VSTART 8 +#define TARGET_VSTOP 9 +#define TARGET_VSUSP 10 +#define TARGET_VEOL 11 +#define TARGET_VREPRINT 12 +#define TARGET_VDISCARD 13 +#define TARGET_VWERASE 14 +#define TARGET_VLNEXT 15 +#define TARGET_VEOL2 16 + +/* ioctls */ + +#define TARGET_TCGETS 0x5401 +#define TARGET_TCSETS 0x5402 +#define TARGET_TCSETSW 0x5403 +#define TARGET_TCSETSF 0x5404 +#define TARGET_TCGETA 0x5405 +#define TARGET_TCSETA 0x5406 +#define TARGET_TCSETAW 0x5407 +#define TARGET_TCSETAF 0x5408 +#define TARGET_TCSBRK 0x5409 +#define TARGET_TCXONC 0x540A +#define TARGET_TCFLSH 0x540B + +#define TARGET_TIOCEXCL 0x540C +#define TARGET_TIOCNXCL 0x540D +#define TARGET_TIOCSCTTY 0x540E +#define TARGET_TIOCGPGRP 0x540F +#define TARGET_TIOCSPGRP 0x5410 +#define TARGET_TIOCOUTQ 0x5411 +#define TARGET_TIOCSTI 0x5412 +#define TARGET_TIOCGWINSZ 0x5413 +#define TARGET_TIOCSWINSZ 0x5414 +#define TARGET_TIOCMGET 0x5415 +#define TARGET_TIOCMBIS 0x5416 +#define TARGET_TIOCMBIC 0x5417 +#define TARGET_TIOCMSET 0x5418 +#define TARGET_TIOCGSOFTCAR 0x5419 +#define TARGET_TIOCSSOFTCAR 0x541A +#define TARGET_FIONREAD 0x541B +#define TARGET_TIOCINQ TARGET_FIONREAD +#define TARGET_TIOCLINUX 0x541C +#define TARGET_TIOCCONS 0x541D +#define TARGET_TIOCGSERIAL 0x541E +#define TARGET_TIOCSSERIAL 0x541F +#define TARGET_TIOCPKT 0x5420 +#define TARGET_FIONBIO 0x5421 +#define TARGET_TIOCNOTTY 0x5422 +#define TARGET_TIOCSETD 0x5423 +#define TARGET_TIOCGETD 0x5424 +#define TARGET_TCSBRKP 0x5425 /* Needed for POSIX tcsendbreak() */ +#define TARGET_TIOCTTYGSTRUCT 0x5426 /* For debugging only */ +#define TARGET_TIOCSBRK 0x5427 /* BSD compatibility */ +#define TARGET_TIOCCBRK 0x5428 /* BSD compatibility */ +#define TARGET_TIOCGSID 0x5429 /* Return the session ID of FD */ +#define TARGET_TIOCGPTN TARGET_IOR('T', 0x30, unsigned int) + /* Get Pty Number (of pty-mux device) */ +#define TARGET_TIOCSPTLCK TARGET_IOW('T', 0x31, int) + /* Lock/unlock Pty */ + +#define TARGET_FIONCLEX 0x5450 /* these numbers need to be adjust= ed. */ +#define TARGET_FIOCLEX 0x5451 +#define TARGET_FIOASYNC 0x5452 +#define TARGET_TIOCSERCONFIG 0x5453 +#define TARGET_TIOCSERGWILD 0x5454 +#define TARGET_TIOCSERSWILD 0x5455 +#define TARGET_TIOCGLCKTRMIOS 0x5456 +#define TARGET_TIOCSLCKTRMIOS 0x5457 +#define TARGET_TIOCSERGSTRUCT 0x5458 /* For debugging only */ +#define TARGET_TIOCSERGETLSR 0x5459 /* Get line status register */ +#define TARGET_TIOCSERGETMULTI 0x545A /* Get multiport config */ +#define TARGET_TIOCSERSETMULTI 0x545B /* Set multiport config */ + +#define TARGET_TIOCMIWAIT 0x545C + /* wait for a change on serial input line(s) */ +#define TARGET_TIOCGICOUNT 0x545D + /* read serial port inline interrupt counts */ +#define TARGET_TIOCGHAYESESP 0x545E /* Get Hayes ESP configuration */ +#define TARGET_TIOCSHAYESESP 0x545F /* Set Hayes ESP configuration */ + +/* Used for packet mode */ +#define TARGET_TIOCPKT_DATA 0 +#define TARGET_TIOCPKT_FLUSHREAD 1 +#define TARGET_TIOCPKT_FLUSHWRITE 2 +#define TARGET_TIOCPKT_STOP 4 +#define TARGET_TIOCPKT_START 8 +#define TARGET_TIOCPKT_NOSTOP 16 +#define TARGET_TIOCPKT_DOSTOP 32 + +#define TARGET_TIOCSER_TEMT 0x01 /* Transmitter physically empty */ diff --git a/linux-user/signal.c b/linux-user/signal.c index 74fa03f..f4668f0 100644 --- a/linux-user/signal.c +++ b/linux-user/signal.c @@ -535,6 +535,7 @@ static void force_sig(int sig) * up the signal frame. oldsig is the signal we were trying to handle * at the point of failure. */ +#if !defined(TARGET_RISCV) static void force_sigsegv(int oldsig) { if (oldsig =3D=3D SIGSEGV) { @@ -547,6 +548,8 @@ static void force_sigsegv(int oldsig) } #endif =20 +#endif + /* abort execution with signal */ static void QEMU_NORETURN dump_core_and_abort(int target_sig) { @@ -6379,6 +6382,203 @@ long do_rt_sigreturn(CPUTLGState *env) return -TARGET_QEMU_ESIGRETURN; } =20 +#elif defined(TARGET_RISCV) + +/* Signal handler invocation must be transparent for the code being + interrupted. Complete CPU (hart) state is saved on entry and restored + before returning from the handler. Process sigmask is also saved to blo= ck + signals while the handler is running. The handler gets its own stack, + which also doubles as storage for the CPU state and sigmask. + + The code below is qemu re-implementation of arch/riscv/kernel/signal.c = */ + +struct target_sigcontext { + abi_long pc; + abi_long gpr[31]; /* x0 is not present, so all offsets must be -1 */ + uint64_t fpr[32]; + uint32_t fcsr; +}; /* cf. riscv-linux:arch/riscv/include/uapi/asm/ptrace.h */ + +struct target_ucontext { + unsigned long uc_flags; + struct target_ucontext *uc_link; + target_stack_t uc_stack; + struct target_sigcontext uc_mcontext; + target_sigset_t uc_sigmask; +}; + +struct target_rt_sigframe { + uint32_t tramp[2]; /* not in kernel, which uses VDSO instead */ + struct target_siginfo info; + struct target_ucontext uc; +}; + +static abi_ulong get_sigframe(struct target_sigaction *ka, + CPURISCVState *regs, size_t framesize) +{ + abi_ulong sp =3D regs->gpr[xSP]; + int onsigstack =3D on_sig_stack(sp); + + /* redzone */ + /* This is the X/Open sanctioned signal stack switching. */ + if ((ka->sa_flags & TARGET_SA_ONSTACK) !=3D 0 && !onsigstack) { + sp =3D target_sigaltstack_used.ss_sp + target_sigaltstack_used.ss_= size; + } + + sp -=3D framesize; + sp &=3D ~3UL; /* align sp on 4-byte boundary */ + + /* If we are on the alternate signal stack and would overflow it, don'= t. + Return an always-bogus address instead so we will die with SIGSEGV.= */ + if (onsigstack && !likely(on_sig_stack(sp))) { + return -1L; + } + + return sp; +} + +static void setup_sigcontext(struct target_sigcontext *sc, CPURISCVState *= env) +{ + int i; + + __put_user(env->pc, &sc->pc); + + for (i =3D 1; i < 32; i++) { + __put_user(env->gpr[i], &sc->gpr[i - 1]); + } + for (i =3D 0; i < 32; i++) { + __put_user(env->fpr[i], &sc->fpr[i]); + } + + uint32_t fcsr =3D csr_read_helper(env, CSR_FCSR); /*riscv_get_fcsr(env= );*/ + __put_user(fcsr, &sc->fcsr); +} + +static void setup_ucontext(struct target_ucontext *uc, + CPURISCVState *env, target_sigset_t *set) +{ + abi_ulong ss_sp =3D (target_ulong)target_sigaltstack_used.ss_sp; + abi_ulong ss_flags =3D sas_ss_flags(env->gpr[xSP]); + abi_ulong ss_size =3D target_sigaltstack_used.ss_size; + + __put_user(0, &(uc->uc_flags)); + __put_user(0, &(uc->uc_link)); + + __put_user(ss_sp, &(uc->uc_stack.ss_sp)); + __put_user(ss_flags, &(uc->uc_stack.ss_flags)); + __put_user(ss_size, &(uc->uc_stack.ss_size)); + + int i; + for (i =3D 0; i < TARGET_NSIG_WORDS; i++) { + __put_user(set->sig[i], &(uc->uc_sigmask.sig[i])); + } + + setup_sigcontext(&uc->uc_mcontext, env); +} + +static inline void install_sigtramp(uint32_t *tramp) +{ + __put_user(0x08b00893, tramp + 0); /* li a7, 139 =3D __NR_rt_sigretur= n */ + __put_user(0x00000073, tramp + 1); /* ecall */ +} + +static void setup_rt_frame(int sig, struct target_sigaction *ka, + target_siginfo_t *info, + target_sigset_t *set, CPURISCVState *env) +{ + abi_ulong frame_addr; + struct target_rt_sigframe *frame; + + frame_addr =3D get_sigframe(ka, env, sizeof(*frame)); + trace_user_setup_rt_frame(env, frame_addr); + + if (!lock_user_struct(VERIFY_WRITE, frame, frame_addr, 0)) { + goto badframe; + } + + setup_ucontext(&frame->uc, env, set); + tswap_siginfo(&frame->info, info); + install_sigtramp(frame->tramp); + + env->pc =3D ka->_sa_handler; + env->gpr[xSP] =3D frame_addr; + env->gpr[xA0] =3D sig; + env->gpr[xA1] =3D frame_addr + offsetof(struct target_rt_sigframe, inf= o); + env->gpr[xA2] =3D frame_addr + offsetof(struct target_rt_sigframe, uc); + env->gpr[xRA] =3D frame_addr + offsetof(struct target_rt_sigframe, tra= mp); + + return; + +badframe: + unlock_user_struct(frame, frame_addr, 1); + if (sig =3D=3D TARGET_SIGSEGV) { + ka->_sa_handler =3D TARGET_SIG_DFL; + } + force_sig(TARGET_SIGSEGV); +} + +static void restore_sigcontext(CPURISCVState *env, struct target_sigcontex= t *sc) +{ + int i; + + __get_user(env->pc, &sc->pc); + + for (i =3D 1; i < 32; ++i) { + __get_user(env->gpr[i], &sc->gpr[i - 1]); + } + for (i =3D 0; i < 32; ++i) { + __get_user(env->fpr[i], &sc->fpr[i]); + } + + uint32_t fcsr; + __get_user(fcsr, &sc->fcsr); + csr_write_helper(env, fcsr, CSR_FCSR); +} + +static void restore_ucontext(CPURISCVState *env, struct target_ucontext *u= c) +{ + sigset_t blocked; + target_sigset_t target_set; + int i; + + target_sigemptyset(&target_set); + for (i =3D 0; i < TARGET_NSIG_WORDS; i++) { + __get_user(target_set.sig[i], &(uc->uc_sigmask.sig[i])); + } + + target_to_host_sigset_internal(&blocked, &target_set); + set_sigmask(&blocked); + + restore_sigcontext(env, &uc->uc_mcontext); +} + +long do_rt_sigreturn(CPURISCVState *env) +{ + struct target_rt_sigframe *frame; + abi_ulong frame_addr; + + frame_addr =3D env->gpr[xSP]; + trace_user_do_sigreturn(env, frame_addr); + if (!lock_user_struct(VERIFY_READ, frame, frame_addr, 1)) { + goto badframe; + } + + restore_ucontext(env, &frame->uc); + + if (do_sigaltstack(frame_addr + offsetof(struct target_rt_sigframe, + uc.uc_stack), 0, get_sp_from_cpustate(env)) =3D=3D -EFAULT) { + goto badframe; + } + + unlock_user_struct(frame, frame_addr, 0); + return -TARGET_QEMU_ESIGRETURN; + +badframe: + unlock_user_struct(frame, frame_addr, 0); + force_sig(TARGET_SIGSEGV); + return 0; +} + #elif defined(TARGET_HPPA) =20 struct target_sigcontext { @@ -6670,7 +6870,8 @@ static void handle_pending_signal(CPUArchState *cpu_e= nv, int sig, #if defined(TARGET_ABI_MIPSN32) || defined(TARGET_ABI_MIPSN64) \ || defined(TARGET_OPENRISC) || defined(TARGET_TILEGX) \ || defined(TARGET_PPC64) || defined(TARGET_HPPA) \ - || defined(TARGET_NIOS2) || defined(TARGET_X86_64) + || defined(TARGET_NIOS2) || defined(TARGET_X86_64) \ + || defined(TARGET_RISCV) /* These targets do not have traditional signals. */ setup_rt_frame(sig, sa, &k->info, &target_old_set, cpu_env); #else diff --git a/linux-user/syscall.c b/linux-user/syscall.c index 11c9116..5e54889 100644 --- a/linux-user/syscall.c +++ b/linux-user/syscall.c @@ -8434,9 +8434,11 @@ abi_long do_syscall(void *cpu_env, int num, abi_long= arg1, case TARGET_NR_ioctl: ret =3D do_ioctl(arg1, arg2, arg3); break; +#ifdef TARGET_NR_fcntl case TARGET_NR_fcntl: ret =3D do_fcntl(arg1, arg2, arg3); break; +#endif #ifdef TARGET_NR_mpx case TARGET_NR_mpx: goto unimplemented; diff --git a/linux-user/syscall_defs.h b/linux-user/syscall_defs.h index bec3680..e62112a 100644 --- a/linux-user/syscall_defs.h +++ b/linux-user/syscall_defs.h @@ -71,7 +71,7 @@ || defined(TARGET_M68K) || defined(TARGET_CRIS) \ || defined(TARGET_UNICORE32) || defined(TARGET_S390X) \ || defined(TARGET_OPENRISC) || defined(TARGET_TILEGX) \ - || defined(TARGET_NIOS2) + || defined(TARGET_NIOS2) || defined(TARGET_RISCV) =20 #define TARGET_IOC_SIZEBITS 14 #define TARGET_IOC_DIRBITS 2 @@ -435,7 +435,8 @@ int do_sigaction(int sig, const struct target_sigaction= *act, || defined(TARGET_M68K) || defined(TARGET_ALPHA) || defined(TARGET_CRI= S) \ || defined(TARGET_MICROBLAZE) || defined(TARGET_UNICORE32) \ || defined(TARGET_S390X) || defined(TARGET_OPENRISC) \ - || defined(TARGET_TILEGX) || defined(TARGET_HPPA) || defined(TARGET_NI= OS2) + || defined(TARGET_TILEGX) || defined(TARGET_HPPA) || defined(TARGET_NI= OS2) \ + || defined(TARGET_RISCV) =20 #if defined(TARGET_SPARC) #define TARGET_SA_NOCLDSTOP 8u @@ -2093,7 +2094,7 @@ struct target_stat { unsigned int __unused[2]; }; #elif defined(TARGET_OPENRISC) || defined(TARGET_TILEGX) || \ - defined(TARGET_NIOS2) + defined(TARGET_NIOS2) || defined(TARGET_RISCV) =20 /* These are the asm-generic versions of the stat and stat64 structures */ =20 @@ -2120,6 +2121,7 @@ struct target_stat { unsigned int __unused5; }; =20 +#if !defined(TARGET_RISCV64) #define TARGET_HAS_STRUCT_STAT64 struct target_stat64 { uint64_t st_dev; @@ -2143,6 +2145,7 @@ struct target_stat64 { unsigned int __unused4; unsigned int __unused5; }; +#endif =20 #elif defined(TARGET_HPPA) =20 @@ -2258,8 +2261,8 @@ struct target_statfs64 { uint32_t f_spare[6]; }; #elif (defined(TARGET_PPC64) || defined(TARGET_X86_64) || \ - defined(TARGET_SPARC64) || defined(TARGET_AARCH64)) && \ - !defined(TARGET_ABI32) + defined(TARGET_SPARC64) || defined(TARGET_AARCH64) || \ + defined(TARGET_RISCV)) && !defined(TARGET_ABI32) struct target_statfs { abi_long f_type; abi_long f_bsize; diff --git a/target/riscv/cpu_user.h b/target/riscv/cpu_user.h new file mode 100644 index 0000000..c6e89bd --- /dev/null +++ b/target/riscv/cpu_user.h @@ -0,0 +1,26 @@ +/* Return codes for riscv_cpu_do_userspace_amo */ +#define RISCV_AMO_OK 0 +#define RISCV_AMO_BADINSN 1 +#define RISCV_AMO_BADADDR 2 + +/* not RISC-V exception codes - this is for qemu user-mode */ +#define QEMU_USER_EXCP_ATOMIC 0xc +#define QEMU_USER_EXCP_FAULT 0xd + +#define xRA 1 /* return address (aka link register) */ +#define xSP 2 /* stack pointer */ +#define xGP 3 /* global pointer */ +#define xTP 4 /* thread pointer */ + +#define xA0 10 /* gpr[10-17] are syscall arguments */ +#define xA1 11 +#define xA2 12 +#define xA3 13 +#define xA4 14 +#define xA5 15 +#define xA6 16 +#define xA7 17 /* syscall number goes here */ + +#ifdef CONFIG_USER_ONLY +int riscv_cpu_do_usermode_amo(CPUState *cs); +#endif diff --git a/target/riscv/user_atomic.c b/target/riscv/user_atomic.c new file mode 100644 index 0000000..b1646d7 --- /dev/null +++ b/target/riscv/user_atomic.c @@ -0,0 +1,291 @@ +/* + * RISC-V user-mode atomic memory ops + * + * Copyright (c) 2016 Alex Suykov + * + * This library is free software; you can redistribute it and/or + * modify it under the terms of the GNU Lesser General Public + * License as published by the Free Software Foundation; either + * version 2 of the License, or (at your option) any later version. + * + * This library is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + * Lesser General Public License for more details. + * + * You should have received a copy of the GNU Lesser General Public + * License along with this library; if not, see . + */ + +#include "qemu/osdep.h" +#include "cpu.h" + +#ifdef CONFIG_USER_ONLY + +#include "qemu.h" + +/* The code in this file runs outside of cpu_loop and may not raise + any exceptions. Instead, it should return one of RISCV_AMO_* consts. + + See comments around cpu_list_mutex in linux-user/main.c + on why exclusive memory ops are done this way. + + Some other arches put AMO handling right into main.c, + but for RISC-V there's just too many ops to handle and too much code, + so it's all here instead. */ + +#define BITFIELD(src, end, start) \ + (((src) >> start) & ((1 << (end - start + 1)) - 1)) + +#define ENV CPURISCVState *env + +/* Load-Reserve: rd =3D [rs1], creating reservation for [rs1]. */ + +static int rv_do_lr(ENV, int rd, int rs1, int rs2, int width) +{ + int64_t val64; + int32_t val32; + target_long val; + int fault; + + target_long addr =3D env->gpr[rs1]; + + if (rs2) { + return RISCV_AMO_BADINSN; + } + + switch (width) { + case /* 010 */ 2: + fault =3D get_user_s32(val32, addr); + val =3D val32; + break; + case /* 011 */ 3: + fault =3D get_user_s64(val64, addr); + val =3D val64; + break; + default: + return RISCV_AMO_BADINSN; + } + + if (fault) { + return RISCV_AMO_BADADDR; + } + + if (rd) { + env->gpr[rd] =3D val; + } + + env->amoaddr =3D addr; + env->amotest =3D val; + + return RISCV_AMO_OK; +} + +/* Store-Conditional: [rs1] =3D rs2, rd =3D 0 if reservation is intact, + otherwise rd =3D 1. */ + +static int rv_do_sc(ENV, int rd, int rs1, int rs2, int width) +{ + int64_t val64; + int32_t val32; + target_long val; + int fault; + + target_long addr =3D env->gpr[rs1]; + target_long resaddr =3D env->amoaddr; + target_long restest =3D env->amotest; + + if (addr !=3D resaddr) { + goto fail; /* no reservation for this address */ + } + + /* Load and test */ + switch (width) { + case /* 010 */ 2: + fault =3D get_user_s32(val32, addr); + val =3D val32; + break; + case /* 011 */ 3: + fault =3D get_user_s64(val64, addr); + val =3D val64; + break; + default: + return RISCV_AMO_BADINSN; + } + + if (fault) { + return RISCV_AMO_BADADDR; + } + if (val !=3D restest) { + goto fail; + } + + /* Store */ + val =3D env->gpr[rs2]; + switch (width) { + case /* 010 */ 2: + val32 =3D val; + fault =3D put_user_s32(val32, addr); + break; + case /* 011 */ 3: + val64 =3D val; + fault =3D put_user_s64(val64, addr); + break; + default: + return RISCV_AMO_BADINSN; /* should not happen */ + } + + if (fault) { + return RISCV_AMO_BADADDR; + } + + if (rd) { + env->gpr[rd] =3D 0; + } + return RISCV_AMO_OK; + +fail: + if (rd) { + env->gpr[rd] =3D 1; + } + return RISCV_AMO_OK; +} + +/* Tricky signed-unsigned minmaxes */ +#define DEFMINMAX(type, name, ret) \ + static inline type name(type a, type b) { return ret; } +DEFMINMAX(target_long, rv_min, a < b ? a : b); +DEFMINMAX(target_long, rv_max, a > b ? a : b); +DEFMINMAX(target_ulong, rv_minu, a < b ? a : b); +DEFMINMAX(target_ulong, rv_maxu, a > b ? a : b); + +/* Atomic memory ops: [rs1] =3D rd =3D [rs1] op rs2; + amoswap, amoadd, amoxor, amoor, amomin, amomax, amominu, amomaxu. */ + +static int rv_do_amo(ENV, int func, int rd, int rs1, int rs2, int width) +{ + int64_t val64; + int32_t val32; + int fault =3D 0; + target_long addr =3D env->gpr[rs1]; + target_long arg =3D env->gpr[rs2]; + + target_long val; /* read/written */ + + /* Load, but do not report BADADDR yet */ + switch (width) { + case /* 010 */ 2: + fault =3D get_user_s32(val32, addr); + val =3D val32; + break; + case /* 011 */ 3: + fault =3D get_user_s64(val64, addr); + val =3D val64; + break; + default: + return RISCV_AMO_BADINSN; + } + + target_long vrd =3D val; + + switch (func) { + case /* 00001 */ 0x01: + val =3D arg; + break; + case /* 00000 */ 0x00: + val +=3D arg; + break; + case /* 00100 */ 0x04: + val ^=3D arg; + break; + case /* 01100 */ 0x0C: + val &=3D arg; + break; + case /* 01000 */ 0x08: + val |=3D arg; + break; + case /* 10000 */ 0x10: + val =3D rv_min(val, arg); + break; + case /* 10100 */ 0x14: + val =3D rv_max(val, arg); + break; + case /* 11000 */ 0x18: + val =3D rv_minu(val, arg); + break; + case /* 11100 */ 0x1C: + val =3D rv_maxu(val, arg); + break; + default: + return RISCV_AMO_BADINSN; + } + + /* No BADINSN during decoding, ok to report BADADDR */ + if (fault) { + return RISCV_AMO_BADADDR; + } + /* No BADINSN on decoding and no BADADDR on read, ok to write rd */ + if (rd) { + env->gpr[rd] =3D vrd; + } + + switch (width) { + case /* 010 */ 2: + val32 =3D val; + fault =3D put_user_s32(val32, addr); + break; + case /* 011 */ 3: + val64 =3D val; + fault =3D put_user_s64(val64, addr); + break; + default: + return RISCV_AMO_BADINSN; /* should not happen */ + } + + if (fault) { + return RISCV_AMO_BADADDR; + } + + return RISCV_AMO_OK; +} + +int riscv_cpu_do_usermode_amo(CPUState *cs) +{ + RISCVCPU *cpu =3D RISCV_CPU(cs); + CPURISCVState *env =3D &cpu->env; + + uint64_t insn =3D env->amoinsn; + /* Major opcode must always be 0101111 AMO here */ + if (BITFIELD(insn, 6, 0) !=3D /* 0101111 */ 0x2F) { + return RISCV_AMO_BADINSN; + } + env->amoinsn =3D 0; /* clear amo request, just in case */ + + int func =3D BITFIELD(insn, 31, 27); + int rd =3D BITFIELD(insn, 11, 7); + int width =3D BITFIELD(insn, 14, 12); + int rs1 =3D BITFIELD(insn, 19, 15); + int rs2 =3D BITFIELD(insn, 24, 20); + + int ret; + + switch (func) { + case /* 00010 */ 2: + ret =3D rv_do_lr(env, rd, rs1, rs2, width); + break; + case /* 00011 */ 3: + ret =3D rv_do_sc(env, rd, rs1, rs2, width); + break; + default: + ret =3D rv_do_amo(env, func, rd, rs1, rs2, width); + break; + } + + if (ret =3D=3D RISCV_AMO_BADADDR) { + env->badaddr =3D rs1; + } + + return ret; +} + +#endif --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515638391466410.11997434127636; Wed, 10 Jan 2018 18:39:51 -0800 (PST) Received: from localhost ([::1]:60605 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSmU-0007mn-Ly for importer@patchew.org; Wed, 10 Jan 2018 21:39:50 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48136) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWm-0003IQ-No for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:40 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWi-0008KB-1e for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:36 -0500 Received: from mail-pf0-x22a.google.com ([2607:f8b0:400e:c00::22a]:34584) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWh-0008JJ-JA for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:31 -0500 Received: by mail-pf0-x22a.google.com with SMTP id e76so16689pfk.1 for ; Wed, 10 Jan 2018 18:23:31 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.29 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:29 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=mATAlE5m05vdYSkNX81u4iOrhcXKury8l8Q3aLECjro=; b=LAwHxkmP1pv6wvg5rYZevSMKpFDQKfnzDDIwGKJGoA2KpfelyhPC02NkGPxuBWGNg4 CVSNo354xiMLdOf4+PYU70l4I6rwFQUzH+Uh/JagK56TauacF+4mLDXV4S7gatrcVV5K lEYOyQSSUQZmspn0SwZQ8aZpilC6EYrr4VotcSVWbTQyFKtzFlu0RTRBEx5X5tXYhE6e WSHfj73zgPeY3UEFZb3dtYWmg89gle3e0BmX1OmXb07HidBBKF7F3OJe3JZNhyEX80Ke exVTvqV4VrSYu6/CH4WC7Z+zXKXqFf3P6SLQwsaji1f+26BFEnl7oJjKxireHtD9BlwS UCLA== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=mATAlE5m05vdYSkNX81u4iOrhcXKury8l8Q3aLECjro=; b=Sn7riDz38Kq2TRTCViU5GU8tHYVtGXTQORZ5Pu7TRn3/qDJGiMBKH6yTWdl1XabjY0 rkGXGYHsDbXGp5eJ2fP0TEWDMm7Wqgh0oB6w0A5BIG2CgDYV2Arz1RlGDb3FA6/b9WHY IpuYxTkYSq26iO74Nd/FYLrty17W7xqEV8KXhfIVwBY5mrNkOIcf3ajvtj+GS8rpFvVR bMe6IqUUwryL9OURBZXvcATuC7UT6o315o7bobpVZt4IjamuJgF4LCFVBBKszt3MzP+v nPh7JBO22nIMGwB8znL/4ld8or6HEp3QKzLWQY/F9I/G+OQrKeitiAWtDPfnQAp7Pa6B /bkw== X-Gm-Message-State: AKGB3mIoR55gnyfBJk+Y7fAQhy7CmWNEwtoX1z5ZvO4lcsJrsb2iLxtp vLKgC4rQXRdu5EFvIvuczBS+RbjyAzM= X-Google-Smtp-Source: ACJfBouN428phVy1dPk1sRINBFEcStU9uz/tEShdUoPNKeyL34EgH9ZoljT57P5U1PMS6cHdGFJh9A== X-Received: by 10.98.178.17 with SMTP id x17mr13896756pfe.57.1515637410179; Wed, 10 Jan 2018 18:23:30 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:54 -0800 Message-Id: <1515637324-96034-12-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c00::22a Subject: [Qemu-devel] [PATCH v3 11/21] RISC-V HTIF Console X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" HTIF (Host Target Interface) provides console emulation for QEMU. HTIF allows identical copies of BBL (Berkeley Boot Loader) and linux to run on both Spike and QEMU. BBL provides HTIF console access via the SBI (Supervisor Binary Interface) and the linux kernel SBI console. The HTIF interface reads the ELF kernel and locates the 'tohost' and 'fromhost' symbols which it uses for guest to host console MMIO. The HTIT chardev implements the pre qom legacy interface consistent with the 16550a UART in 'hw/char/serial.c'. Signed-off-by: Michael Clark --- hw/riscv/riscv_elf.c | 244 +++++++++++++++++++++++++++ hw/riscv/riscv_htif.c | 373 ++++++++++++++++++++++++++++++++++++++= ++++ include/hw/riscv/riscv_elf.h | 69 ++++++++ include/hw/riscv/riscv_htif.h | 62 +++++++ 4 files changed, 748 insertions(+) create mode 100644 hw/riscv/riscv_elf.c create mode 100644 hw/riscv/riscv_htif.c create mode 100644 include/hw/riscv/riscv_elf.h create mode 100644 include/hw/riscv/riscv_htif.h diff --git a/hw/riscv/riscv_elf.c b/hw/riscv/riscv_elf.c new file mode 100644 index 0000000..355a5a1 --- /dev/null +++ b/hw/riscv/riscv_elf.c @@ -0,0 +1,244 @@ +/* + * elf.c - A simple package for manipulating symbol tables in elf binaries. + * + * Taken from + * https://www.cs.cmu.edu/afs/cs.cmu.edu/academic/class/15213-f03/www/ + * ftrace/elf.c + * + */ +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "hw/riscv/riscv_elf.h" + +/* + * elf_open - Map a binary into the address space and extract the + * locations of the static and dynamic symbol tables and their string + * tables. Return this information in a Elf object file handle that will + * be passed to all of the other elf functions. + */ +Elf_obj64 *elf_open64(const char *filename) +{ + int i, fd; + struct stat sbuf; + Elf_obj64 *ep; + Elf64_Shdr *shdr; + + ep =3D g_new(Elf_obj64, 1); + + /* Do some consistency checks on the binary */ + fd =3D open(filename, O_RDONLY); + if (fd =3D=3D -1) { + fprintf(stderr, "Can't open \"%s\": %s\n", filename, strerror(errn= o)); + exit(1); + } + if (fstat(fd, &sbuf) =3D=3D -1) { + fprintf(stderr, "Can't stat \"%s\": %s\n", filename, strerror(errn= o)); + exit(1); + } + if (sbuf.st_size < sizeof(Elf64_Ehdr)) { + fprintf(stderr, "\"%s\" is not an ELF binary object\n", filename); + exit(1); + } + + /* It looks OK, so map the Elf binary into our address space */ + ep->mlen =3D sbuf.st_size; + ep->maddr =3D mmap(NULL, ep->mlen, PROT_READ, MAP_SHARED, fd, 0); + if (ep->maddr =3D=3D (void *)-1) { + fprintf(stderr, "Can't mmap \"%s\": %s\n", filename, strerror(errn= o)); + exit(1); + } + close(fd); + + /* The Elf binary begins with the Elf header */ + ep->ehdr =3D ep->maddr; + + /* check we have a 64-bit little-endian RISC-V ELF object */ + if (ep->ehdr->e_ident[EI_MAG0] !=3D ELFMAG0 || + ep->ehdr->e_ident[EI_MAG1] !=3D ELFMAG1 || + ep->ehdr->e_ident[EI_MAG2] !=3D ELFMAG2 || + ep->ehdr->e_ident[EI_MAG3] !=3D ELFMAG3 || + ep->ehdr->e_ident[EI_CLASS] !=3D ELFCLASS64 || + ep->ehdr->e_ident[EI_DATA] !=3D ELFDATA2LSB || + ep->ehdr->e_machine !=3D EM_RISCV) + { + fprintf(stderr, "\"%s\" is not a 64-bit RISC-V ELF object\n", file= name); + exit(1); + } + + /* + * Find the static and dynamic symbol tables and their string + * tables in the the mapped binary. The sh_link field in symbol + * table section headers gives the section index of the string + * table for that symbol table. + */ + shdr =3D (Elf64_Shdr *)(ep->maddr + ep->ehdr->e_shoff); + for (i =3D 0; i < ep->ehdr->e_shnum; i++) { + if (shdr[i].sh_type =3D=3D SHT_SYMTAB) { /* Static symbol table = */ + ep->symtab =3D (Elf64_Sym *)(ep->maddr + shdr[i].sh_offset); + ep->symtab_end =3D (Elf64_Sym *)((char *)ep->symtab + + shdr[i].sh_size); + ep->strtab =3D (char *)(ep->maddr + shdr[shdr[i].sh_link].sh_o= ffset); + } + if (shdr[i].sh_type =3D=3D SHT_DYNSYM) { /* Dynamic symbol table= */ + ep->dsymtab =3D (Elf64_Sym *)(ep->maddr + shdr[i].sh_offset); + ep->dsymtab_end =3D (Elf64_Sym *)((char *)ep->dsymtab + + shdr[i].sh_size); + ep->dstrtab =3D (char *)(ep->maddr + shdr[shdr[i].sh_link].sh_= offset); + } + } + return ep; +} + +Elf_obj32 *elf_open32(const char *filename) +{ + int i, fd; + struct stat sbuf; + Elf_obj32 *ep; + Elf32_Shdr *shdr; + + ep =3D g_new(Elf_obj32, 1); + + /* Do some consistency checks on the binary */ + fd =3D open(filename, O_RDONLY); + if (fd =3D=3D -1) { + fprintf(stderr, "Can't open \"%s\": %s\n", filename, strerror(errn= o)); + exit(1); + } + if (fstat(fd, &sbuf) =3D=3D -1) { + fprintf(stderr, "Can't stat \"%s\": %s\n", filename, strerror(errn= o)); + exit(1); + } + if (sbuf.st_size < sizeof(Elf32_Ehdr)) { + fprintf(stderr, "\"%s\" is not an ELF binary object\n", filename); + exit(1); + } + + /* It looks OK, so map the Elf binary into our address space */ + ep->mlen =3D sbuf.st_size; + ep->maddr =3D mmap(NULL, ep->mlen, PROT_READ, MAP_SHARED, fd, 0); + if (ep->maddr =3D=3D (void *)-1) { + fprintf(stderr, "Can't mmap \"%s\": %s\n", filename, strerror(errn= o)); + exit(1); + } + close(fd); + + /* The Elf binary begins with the Elf header */ + ep->ehdr =3D ep->maddr; + + /* check we have a 32-bit little-endian RISC-V ELF object */ + if (ep->ehdr->e_ident[EI_MAG0] !=3D ELFMAG0 || + ep->ehdr->e_ident[EI_MAG1] !=3D ELFMAG1 || + ep->ehdr->e_ident[EI_MAG2] !=3D ELFMAG2 || + ep->ehdr->e_ident[EI_MAG3] !=3D ELFMAG3 || + ep->ehdr->e_ident[EI_CLASS] !=3D ELFCLASS32 || + ep->ehdr->e_ident[EI_DATA] !=3D ELFDATA2LSB || + ep->ehdr->e_machine !=3D EM_RISCV) + { + fprintf(stderr, "\"%s\" is not a 32-bit RISC-V ELF object\n", file= name); + exit(1); + } + + /* + * Find the static and dynamic symbol tables and their string + * tables in the the mapped binary. The sh_link field in symbol + * table section headers gives the section index of the string + * table for that symbol table. + */ + shdr =3D (Elf32_Shdr *)(ep->maddr + ep->ehdr->e_shoff); + for (i =3D 0; i < ep->ehdr->e_shnum; i++) { + if (shdr[i].sh_type =3D=3D SHT_SYMTAB) { /* Static symbol table = */ + ep->symtab =3D (Elf32_Sym *)(ep->maddr + shdr[i].sh_offset); + ep->symtab_end =3D (Elf32_Sym *)((char *)ep->symtab + + shdr[i].sh_size); + ep->strtab =3D (char *)(ep->maddr + shdr[shdr[i].sh_link].sh_o= ffset); + } + if (shdr[i].sh_type =3D=3D SHT_DYNSYM) { /* Dynamic symbol table= */ + ep->dsymtab =3D (Elf32_Sym *)(ep->maddr + shdr[i].sh_offset); + ep->dsymtab_end =3D (Elf32_Sym *)((char *)ep->dsymtab + + shdr[i].sh_size); + ep->dstrtab =3D (char *)(ep->maddr + shdr[shdr[i].sh_link].sh_= offset); + } + } + return ep; +} + +/* + * elf_close - Free up the resources of an elf object + */ +void elf_close64(Elf_obj64 *ep) +{ + if (munmap(ep->maddr, ep->mlen) < 0) { + perror("munmap"); + exit(1); + } + free(ep); +} + +void elf_close32(Elf_obj32 *ep) +{ + if (munmap(ep->maddr, ep->mlen) < 0) { + perror("munmap"); + exit(1); + } + free(ep); +} + +/* + * elf_symname - Return ASCII name of a static symbol + */ +char *elf_symname64(Elf_obj64 *ep, Elf64_Sym *sym) +{ + return &ep->strtab[sym->st_name]; +} + +char *elf_symname32(Elf_obj32 *ep, Elf32_Sym *sym) +{ + return &ep->strtab[sym->st_name]; +} + +/* + * elf_firstsym - Return ptr to first symbol in static symbol table + */ +Elf64_Sym *elf_firstsym64(Elf_obj64 *ep) +{ + return ep->symtab; +} + +Elf32_Sym *elf_firstsym32(Elf_obj32 *ep) +{ + return ep->symtab; +} + + +/* + * elf_nextsym - Return ptr to next symbol in static symbol table, + * or NULL if no more symbols. + */ +Elf64_Sym *elf_nextsym64(Elf_obj64 *ep, Elf64_Sym *sym) +{ + sym++; + if (sym < ep->symtab_end) { + return sym; + } else { + return NULL; + } +} + +Elf32_Sym *elf_nextsym32(Elf_obj32 *ep, Elf32_Sym *sym) +{ + sym++; + if (sym < ep->symtab_end) { + return sym; + } else { + return NULL; + } +} diff --git a/hw/riscv/riscv_htif.c b/hw/riscv/riscv_htif.c new file mode 100644 index 0000000..66ab1ed --- /dev/null +++ b/hw/riscv/riscv_htif.c @@ -0,0 +1,373 @@ +/* + * QEMU RISC-V Host Target Interface (HTIF) Emulation + * + * Author: Sagar Karandikar, sagark@eecs.berkeley.edu + * + * This provides HTIF device emulation for QEMU. At the moment this allows + * for identical copies of bbl/linux to run on both spike and QEMU. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#include "qemu/osdep.h" +#include "qapi/error.h" +#include "qemu/log.h" +#include "hw/sysbus.h" +#include "hw/char/serial.h" +#include "chardev/char.h" +#include "chardev/char-fe.h" +#include "hw/riscv/riscv_htif.h" +#include "qemu/timer.h" +#include "exec/address-spaces.h" +#include "qemu/error-report.h" +#include "hw/riscv/riscv_elf.h" + +#define ENABLE_CHARDEV + +#define RISCV_DEBUG_HTIF 0 +#define HTIF_DEBUG(fmt, ...) = \ + do { = \ + if (RISCV_DEBUG_HTIF) { = \ + qemu_log_mask(LOG_TRACE, "%s: " fmt, __func__, ##__VA_ARGS__);= \ + } = \ + } while (0) + +#ifdef ENABLE_CHARDEV +/* + * Called by the char dev to see if HTIF is ready to accept input. + */ +static int htif_can_recv(void *opaque) +{ + return 1; +} + +/* + * Called by the char dev to supply input to HTIF console. + * We assume that we will receive one character at a time. + */ +static void htif_recv(void *opaque, const uint8_t *buf, int size) +{ + HTIFState *htifstate =3D opaque; + + if (size !=3D 1) { + return; + } + + /* TODO - we need to check whether mfromhost is zero which indicates + the device is ready to receive. The current implementation + will drop characters */ + + uint64_t val_written =3D htifstate->pending_read; + uint64_t resp =3D 0x100 | *buf; + + htifstate->env->mfromhost =3D (val_written >> 48 << 48) | (resp << 16 = >> 16); + qemu_irq_raise(htifstate->irq); +} + +/* + * Called by the char dev to supply special events to the HTIF console. + * Not used for HTIF. + */ +static void htif_event(void *opaque, int event) +{ + #ifdef DEBUG_CHARDEV + fprintf(stderr, "GOT EVENT: %d\n", event); + #endif +} + +static int htif_be_change(void *opaque) +{ + HTIFState *s =3D opaque; + + qemu_chr_fe_set_handlers(&s->chr, htif_can_recv, htif_recv, htif_event, + htif_be_change, s, NULL, true); + + return 0; +} +#endif + +static void dma_strcopy(HTIFState *htifstate, char *str, hwaddr phys_addr) +{ + int i =3D 0; + void *base_copy_addr =3D htifstate->main_mem_ram_ptr + phys_addr; + while (*(str + i)) { + stb_p((void *)(base_copy_addr + i), *(str + i)); + i++; + } + stb_p((void *)(base_copy_addr + i), 0); /* store null term */ +} + +static void htif_handle_tohost_write(HTIFState *htifstate, uint64_t val_wr= itten) +{ + HTIF_DEBUG("TOHOST WRITE WITH val 0x%016" PRIx64, val_written); + + uint8_t device =3D val_written >> 56; + uint8_t cmd =3D val_written >> 48; + uint64_t payload =3D val_written & 0xFFFFFFFFFFFFULL; + + uint64_t addr =3D payload >> 8; + hwaddr real_addr =3D (hwaddr)addr; + uint8_t what =3D payload & 0xFF; + int resp; + + resp =3D 0; /* stop gcc complaining */ + HTIF_DEBUG("mtohost write: device: %d cmd: %d what: %02" PRIx64 + " -payload: %016" PRIx64, device, cmd, payload & 0xFF, payload); + + /* + * Currently, there is a fixed mapping of devices: + * 0: riscv-tests Pass/Fail Reporting Only (no syscall proxy) + * 1: Console + */ + if (unlikely(device =3D=3D 0x0)) { + /* frontend syscall handler, only test pass/fail support */ + if (cmd =3D=3D 0x0) { + HTIF_DEBUG("frontend syscall handler"); + if (payload & 0x1) { + /* exit code */ + int exit_code =3D payload >> 1; + if (exit_code) { + qemu_log("*** FAILED *** (tohost =3D %d)", exit_code); + } + exit(exit_code); + } + qemu_log_mask(LOG_UNIMP, "pk syscall proxy not supported"); + } else if (cmd =3D=3D 0xFF) { + /* use what */ + if (what =3D=3D 0xFF) { + HTIF_DEBUG("registering name"); + dma_strcopy(htifstate, (char *)"syscall_proxy", real_addr); + } else if (what =3D=3D 0x0) { + HTIF_DEBUG("registering syscall cmd"); + dma_strcopy(htifstate, (char *)"syscall", real_addr); + } else { + HTIF_DEBUG("registering end of cmds list"); + dma_strcopy(htifstate, (char *)"", real_addr); + } + resp =3D 0x1; /* write to indicate device name placed */ + } else { + qemu_log("HTIF device %d: UNKNOWN COMMAND", device); + } + } else if (likely(device =3D=3D 0x1)) { + /* HTIF Console */ + if (cmd =3D=3D 0x0) { + /* this should be a queue, but not yet implemented as such */ + htifstate->pending_read =3D val_written; + htifstate->env->mtohost =3D 0; /* clear to indicate we read */ + return; + } else if (cmd =3D=3D 0x1) { + #ifdef ENABLE_CHARDEV + qemu_chr_fe_write(&htifstate->chr, (uint8_t *)&payload, 1); + #endif + resp =3D 0x100 | (uint8_t)payload; + } else if (cmd =3D=3D 0xFF) { + /* use what */ + if (what =3D=3D 0xFF) { + HTIF_DEBUG("registering name"); + dma_strcopy(htifstate, (char *)"bcd", real_addr); + } else if (what =3D=3D 0x0) { + HTIF_DEBUG("registering read cmd"); + dma_strcopy(htifstate, (char *)"read", real_addr); + } else if (what =3D=3D 0x1) { + HTIF_DEBUG("registering write cmd"); + dma_strcopy(htifstate, (char *)"write", real_addr); + } else { + HTIF_DEBUG("registering end of cmds list"); + dma_strcopy(htifstate, (char *)"", real_addr); + } + resp =3D 0x1; /* write to indicate device name placed */ + } else { + qemu_log("HTIF device %d: UNKNOWN COMMAND", device); + } + /* all other devices */ + } else if (device =3D=3D 0x2 && cmd =3D=3D 0xFF && what =3D=3D 0xFF) { + HTIF_DEBUG("registering no device as last"); + stb_p((void *)(htifstate->main_mem_ram_ptr + real_addr), 0); + resp =3D 0x1; /* write to indicate device name placed */ + } else { + qemu_log("HTIF UNKNOWN DEVICE OR COMMAND"); + HTIF_DEBUG("device: %d cmd: %d what: %02" PRIx64 + " payload: %016" PRIx64, device, cmd, payload & 0xFF, payload); + } + /* + * - latest bbl does not set fromhost to 0 if there is a value in toho= st + * - with this code enabled, qemu hangs waiting for fromhost to go to 0 + * - with this code disabled, qemu works with bbl priv v1.9.1 and v1.10 + * - HTIF needs protocol documentation and a more complete state machi= ne + + while (!htifstate->fromhost_inprogress && + htifstate->env->mfromhost !=3D 0x0) { + } + */ + htifstate->env->mfromhost =3D (val_written >> 48 << 48) | (resp << 16 = >> 16); + htifstate->env->mtohost =3D 0; /* clear to indicate we read */ + if (htifstate->env->mfromhost !=3D 0) { + /* raise HTIF interrupt */ + qemu_irq_raise(htifstate->irq); + } +} + +#define TOHOST_OFFSET1 (htifstate->tohost_offset) +#define TOHOST_OFFSET2 (htifstate->tohost_offset + 4) +#define FROMHOST_OFFSET1 (htifstate->fromhost_offset) +#define FROMHOST_OFFSET2 (htifstate->fromhost_offset + 4) + +/* CPU wants to read an HTIF register */ +static uint64_t htif_mm_read(void *opaque, hwaddr addr, unsigned size) +{ + HTIFState *htifstate =3D opaque; + if (addr =3D=3D TOHOST_OFFSET1) { + return htifstate->env->mtohost & 0xFFFFFFFF; + } else if (addr =3D=3D TOHOST_OFFSET2) { + return (htifstate->env->mtohost >> 32) & 0xFFFFFFFF; + } else if (addr =3D=3D FROMHOST_OFFSET1) { + return htifstate->env->mfromhost & 0xFFFFFFFF; + } else if (addr =3D=3D FROMHOST_OFFSET2) { + return (htifstate->env->mfromhost >> 32) & 0xFFFFFFFF; + } else { + qemu_log("Invalid htif read: address %016" PRIx64, + (uint64_t)addr); + return 0; + } +} + +/* CPU wrote to an HTIF register */ +static void htif_mm_write(void *opaque, hwaddr addr, + uint64_t value, unsigned size) +{ + HTIFState *htifstate =3D opaque; + if (addr =3D=3D TOHOST_OFFSET1) { + if (htifstate->env->mtohost =3D=3D 0x0) { + htifstate->allow_tohost =3D 1; + htifstate->env->mtohost =3D value & 0xFFFFFFFF; + } else { + htifstate->allow_tohost =3D 0; + } + } else if (addr =3D=3D TOHOST_OFFSET2) { + if (htifstate->allow_tohost) { + htifstate->env->mtohost |=3D value << 32; + htif_handle_tohost_write(htifstate, htifstate->env->mtohost); + } + } else if (addr =3D=3D FROMHOST_OFFSET1) { + htifstate->fromhost_inprogress =3D 1; + htifstate->env->mfromhost =3D value & 0xFFFFFFFF; + } else if (addr =3D=3D FROMHOST_OFFSET2) { + htifstate->env->mfromhost |=3D value << 32; + if (htifstate->env->mfromhost =3D=3D 0x0) { + qemu_irq_lower(htifstate->irq); + } + htifstate->fromhost_inprogress =3D 0; + } else { + qemu_log("Invalid htif write: address %016" PRIx64, + (uint64_t)addr); + } +} + +static const MemoryRegionOps htif_mm_ops =3D { + .read =3D htif_mm_read, + .write =3D htif_mm_write, +}; + +HTIFState *htif_mm_init(MemoryRegion *address_space, + const char *kernel_filename, qemu_irq irq, MemoryRegion *main_mem, + CPURISCVState *env, Chardev *chr) +{ + uint64_t fromhost_addr =3D 0, tohost_addr =3D 0; + + /* get fromhost/tohost addresses from the ELF, as spike/fesvr do */ + if (kernel_filename) { +#if defined(TARGET_RISCV64) + Elf_obj64 *e =3D elf_open64(kernel_filename); +#else + Elf_obj32 *e =3D elf_open32(kernel_filename); +#endif + + const char *fromhost =3D "fromhost"; + const char *tohost =3D "tohost"; + +#if defined(TARGET_RISCV64) + Elf64_Sym *curr_sym =3D elf_firstsym64(e); +#else + Elf32_Sym *curr_sym =3D elf_firstsym32(e); +#endif + while (curr_sym) { +#if defined(TARGET_RISCV64) + char *symname =3D elf_symname64(e, curr_sym); +#else + char *symname =3D elf_symname32(e, curr_sym); +#endif + + if (strcmp(fromhost, symname) =3D=3D 0) { + /* get fromhost addr */ + fromhost_addr =3D curr_sym->st_value; + if (curr_sym->st_size !=3D 8) { + error_report("HTIF fromhost must be 8 bytes"); + exit(1); + } + } else if (strcmp(tohost, symname) =3D=3D 0) { + /* get tohost addr */ + tohost_addr =3D curr_sym->st_value; + if (curr_sym->st_size !=3D 8) { + error_report("HTIF tohost must be 8 bytes"); + exit(1); + } + } +#if defined(TARGET_RISCV64) + curr_sym =3D elf_nextsym64(e, curr_sym); +#else + curr_sym =3D elf_nextsym32(e, curr_sym); +#endif + } + +#if defined(TARGET_RISCV64) + elf_close64(e); +#else + elf_close32(e); +#endif + } + + uint64_t base =3D MIN(tohost_addr, fromhost_addr); + uint64_t size =3D MAX(tohost_addr + 8, fromhost_addr + 8) - base; + uint64_t tohost_offset =3D tohost_addr - base; + uint64_t fromhost_offset =3D fromhost_addr - base; + + HTIFState *s =3D g_malloc0(sizeof(HTIFState)); + s->irq =3D irq; + s->address_space =3D address_space; + s->main_mem =3D main_mem; + s->main_mem_ram_ptr =3D memory_region_get_ram_ptr(main_mem); + s->env =3D env; + s->tohost_offset =3D tohost_offset; + s->fromhost_offset =3D fromhost_offset; + s->pending_read =3D 0; + s->allow_tohost =3D 0; + s->fromhost_inprogress =3D 0; +#ifdef ENABLE_CHARDEV + qemu_chr_fe_init(&s->chr, chr, &error_abort); + qemu_chr_fe_set_handlers(&s->chr, htif_can_recv, htif_recv, htif_event, + htif_be_change, s, NULL, true); +#endif + if (base) { + memory_region_init_io(&s->mmio, NULL, &htif_mm_ops, s, + TYPE_HTIF_UART, size); + memory_region_add_subregion(address_space, base, &s->mmio); + } + + return s; +} diff --git a/include/hw/riscv/riscv_elf.h b/include/hw/riscv/riscv_elf.h new file mode 100644 index 0000000..5771829 --- /dev/null +++ b/include/hw/riscv/riscv_elf.h @@ -0,0 +1,69 @@ +/* + * elf.h - A package for manipulating Elf binaries + * + * Taken from: + * https://www.cs.cmu.edu/afs/cs.cmu.edu/academic/class/15213-f03/www/ftra= ce/ + * elf.h + * + */ + +#ifndef ELF_H +#define ELF_H + +#include +#include + +/* + * This is a handle that is created by elf_open and then used by every + * other function in the elf package +*/ +typedef struct { + void *maddr; /* Start of mapped Elf binary segment in memor= y */ + int mlen; /* Length in bytes of the mapped Elf segment */ + Elf64_Ehdr *ehdr; /* Start of main Elf header (same as maddr) */ + Elf64_Sym *symtab; /* Start of symbol table */ + Elf64_Sym *symtab_end; /* End of symbol table (symtab + size) */ + char *strtab; /* Address of string table */ + Elf64_Sym *dsymtab; /* Start of dynamic symbol table */ + Elf64_Sym *dsymtab_end; /* End of dynamic symbol table (dsymtab + size= ) */ + char *dstrtab; /* Address of dynamic string table */ +} Elf_obj64; + +typedef struct { + void *maddr; /* Start of mapped Elf binary segment in memor= y */ + int mlen; /* Length in bytes of the mapped Elf segment */ + Elf32_Ehdr *ehdr; /* Start of main Elf header (same as maddr) */ + Elf32_Sym *symtab; /* Start of symbol table */ + Elf32_Sym *symtab_end; /* End of symbol table (symtab + size) */ + char *strtab; /* Address of string table */ + Elf32_Sym *dsymtab; /* Start of dynamic symbol table */ + Elf32_Sym *dsymtab_end; /* End of dynamic symbol table (dsymtab + size= ) */ + char *dstrtab; /* Address of dynamic string table */ +} Elf_obj32; + +/* + * Create and destroy Elf object handles + */ +Elf_obj64 *elf_open64(const char *filename); +Elf_obj32 *elf_open32(const char *filename); + +void elf_close64(Elf_obj64 *ep); +void elf_close32(Elf_obj32 *ep); + +/* + * Functions for manipulating static symbols + */ + +/* Returns pointer to the first symbol */ +Elf64_Sym *elf_firstsym64(Elf_obj64 *ep); +Elf32_Sym *elf_firstsym32(Elf_obj32 *ep); + +/* Returns pointer to the next symbol, or NULL if no more symbols */ +Elf64_Sym *elf_nextsym64(Elf_obj64 *ep, Elf64_Sym *sym); +Elf32_Sym *elf_nextsym32(Elf_obj32 *ep, Elf32_Sym *sym); + +/* Return symbol string name */ +char *elf_symname64(Elf_obj64 *ep, Elf64_Sym *sym); +char *elf_symname32(Elf_obj32 *ep, Elf32_Sym *sym); + +#endif diff --git a/include/hw/riscv/riscv_htif.h b/include/hw/riscv/riscv_htif.h new file mode 100644 index 0000000..d37291d --- /dev/null +++ b/include/hw/riscv/riscv_htif.h @@ -0,0 +1,62 @@ +/* + * QEMU RISCV Host Target Interface (HTIF) Emulation + * + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ +#ifndef HW_RISCV_HTIF_H +#define HW_RISCV_HTIF_H + +#include "hw/hw.h" +#include "chardev/char.h" +#include "chardev/char-fe.h" +#include "sysemu/sysemu.h" +#include "exec/memory.h" +#include "target/riscv/cpu.h" + +#define TYPE_HTIF_UART "riscv.htif.uart" + +typedef struct HTIFState { + int allow_tohost; + int fromhost_inprogress; + + hwaddr tohost_offset; + hwaddr fromhost_offset; + uint64_t tohost_size; + uint64_t fromhost_size; + qemu_irq irq; /* host interrupt line */ + MemoryRegion mmio; + MemoryRegion *address_space; + MemoryRegion *main_mem; + void *main_mem_ram_ptr; + + CPURISCVState *env; + CharBackend chr; + uint64_t pending_read; +} HTIFState; + +extern const VMStateDescription vmstate_htif; +extern const MemoryRegionOps htif_io_ops; + +/* legacy pre qom */ +HTIFState *htif_mm_init(MemoryRegion *address_space, + const char *kernel_filename, qemu_irq irq, MemoryRegion *main_mem, + CPURISCVState *env, Chardev *chr); + +#endif --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515637551638612.8168623121883; Wed, 10 Jan 2018 18:25:51 -0800 (PST) Received: from localhost ([::1]:59907 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSYw-0004nH-Om for importer@patchew.org; Wed, 10 Jan 2018 21:25:50 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48098) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWk-0003G8-Ki for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:36 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWi-0008KS-H4 for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:34 -0500 Received: from mail-pg0-x242.google.com ([2607:f8b0:400e:c05::242]:41295) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWi-0008K0-8b for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:32 -0500 Received: by mail-pg0-x242.google.com with SMTP id 136so1113344pgd.8 for ; Wed, 10 Jan 2018 18:23:32 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.30 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:30 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=43K0Q4QSORYmP58AF10p8wntW1hChB5IjoxynmABNT4=; b=Kz+OHJs5PQ7JxOp3Eq5/Rlc19paDel4MGZqlFqsul3bcgjgd1kTurtVaULZ8Ar0PPH NkQXqaQL/shj2i9+nSxtU+lc2SenQoPCTngoGbfK1edqTmMJ6G53L8JgaVdVEv8U6PY4 R2SpqokKKFka1Js8iOPEHtWTI6MtXOQiak1ngfwCLuQ4S5J1yUMTwg9slO8BatAMgnE4 K1YO72qFF2Q2/JHOzCypqDUr/2SvDl5wjJryEF3sZvtxurlKPKSvJgc3bRODMu0AjpSN zViavt3qbv5k/3Z9GIAO2huPl1h9ORvbF44e6o+2iDTyj/Mk4FoeaEHjvk/BDSCg4SBP 5Htg== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=43K0Q4QSORYmP58AF10p8wntW1hChB5IjoxynmABNT4=; b=JNlBM8h8hmqdNJBGhPSkKt8GJR29K6uMoP9Q48g9PwFFVmibrH0MdkfDiIWXtB7aIZ J9RH6LV/SCwvG65KGgUsVLECrNyIHKpotFjRKvvYEG4pGhHWCwdxgNwyOY5SxuyPser3 H6W+ZLRit8MqnUStrLtiiehxZSM6DRT5nNQ5YOc9Sv5UOZejmhzfxpdPOwFg4oB9FaSn Go7KjMKabQtfeY/flfcOq/2tlgzBH2I+CWrvnaqF+Wp1oxuCqORF2EMEDD1VNsxiEafa 3036YAhWgUQ10/lsfZ8h92qU0EWs7YuCsN9qiguRBcQDrez0bftzz98St7YeZSy+dBkl b9Dg== X-Gm-Message-State: AKGB3mLxYZDPEXoI0YB97lN0v1/p9RvOo4Li5O5zHWsxSeEBY6IQWdjw J1VYxIoQP8B/ji/6bnLdFHMjq7Af5k4= X-Google-Smtp-Source: ACJfBosPYoQRmK00FEjJQAKWYHZPnAZl3XE6BXWtx6ASKHzJh1ixBIAzy9x0HH0zoAIla5DXfLwVmA== X-Received: by 10.159.242.193 with SMTP id x1mr15597422plw.85.1515637411257; Wed, 10 Jan 2018 18:23:31 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:55 -0800 Message-Id: <1515637324-96034-13-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c05::242 Subject: [Qemu-devel] [PATCH v3 12/21] RISC-V HART Array X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" Holds the state of a heterogenous array of RISC-V hardware threads. Signed-off-by: Michael Clark --- hw/riscv/riscv_hart.c | 95 +++++++++++++++++++++++++++++++++++++++= ++++ include/hw/riscv/riscv_hart.h | 45 ++++++++++++++++++++ 2 files changed, 140 insertions(+) create mode 100644 hw/riscv/riscv_hart.c create mode 100644 include/hw/riscv/riscv_hart.h diff --git a/hw/riscv/riscv_hart.c b/hw/riscv/riscv_hart.c new file mode 100644 index 0000000..a7e079e --- /dev/null +++ b/hw/riscv/riscv_hart.c @@ -0,0 +1,95 @@ +/* + * QEMU RISCV Hart Array + * + * Copyright (c) 2017 SiFive, Inc. + * + * Holds the state of a heterogenous array of RISC-V harts + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#include "qemu/osdep.h" +#include "qapi/error.h" +#include "hw/sysbus.h" +#include "target/riscv/cpu.h" +#include "hw/riscv/riscv_hart.h" + +static Property riscv_harts_props[] =3D { + DEFINE_PROP_UINT32("num-harts", RISCVHartArrayState, num_harts, 1), + DEFINE_PROP_STRING("cpu-model", RISCVHartArrayState, cpu_model), + DEFINE_PROP_END_OF_LIST(), +}; + +static void riscv_harts_cpu_reset(void *opaque) +{ + RISCVCPU *cpu =3D opaque; + cpu_reset(CPU(cpu)); +} + +static void riscv_harts_realize(DeviceState *dev, Error **errp) +{ + RISCVHartArrayState *s =3D RISCV_HART_ARRAY(dev); + Error *err =3D NULL; + int n; + + s->harts =3D g_new0(RISCVCPU, s->num_harts); + + for (n =3D 0; n < s->num_harts; n++) { + + object_initialize(&s->harts[n], sizeof(RISCVCPU), s->cpu_model); + s->harts[n].env.mhartid =3D n; + object_property_add_child(OBJECT(s), "harts[*]", OBJECT(&s->harts[= n]), + &error_abort); + qemu_register_reset(riscv_harts_cpu_reset, &s->harts[n]); + object_property_set_bool(OBJECT(&s->harts[n]), true, + "realized", &err); + if (err) { + error_propagate(errp, err); + return; + } + } +} + +static void riscv_harts_class_init(ObjectClass *klass, void *data) +{ + DeviceClass *dc =3D DEVICE_CLASS(klass); + + dc->props =3D riscv_harts_props; + dc->realize =3D riscv_harts_realize; +} + +static void riscv_harts_init(Object *obj) +{ + /* RISCVHartArrayState *s =3D SIFIVE_COREPLEX(obj); */ +} + +static const TypeInfo riscv_harts_info =3D { + .name =3D TYPE_RISCV_HART_ARRAY, + .parent =3D TYPE_SYS_BUS_DEVICE, + .instance_size =3D sizeof(RISCVHartArrayState), + .instance_init =3D riscv_harts_init, + .class_init =3D riscv_harts_class_init, +}; + +static void riscv_harts_register_types(void) +{ + type_register_static(&riscv_harts_info); +} + +type_init(riscv_harts_register_types) diff --git a/include/hw/riscv/riscv_hart.h b/include/hw/riscv/riscv_hart.h new file mode 100644 index 0000000..c45e987 --- /dev/null +++ b/include/hw/riscv/riscv_hart.h @@ -0,0 +1,45 @@ +/* + * QEMU RISC-V Hart Array interface + * + * Copyright (c) 2017 SiFive, Inc. + * + * Holds the state of a heterogenous array of RISC-V harts + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#ifndef HW_RISCV_HART_H +#define HW_RISCV_HART_H + +#define TYPE_RISCV_HART_ARRAY "riscv.hart_array" + +#define RISCV_HART_ARRAY(obj) \ + OBJECT_CHECK(RISCVHartArrayState, (obj), TYPE_RISCV_HART_ARRAY) + +typedef struct RISCVHartArrayState { + /*< private >*/ + SysBusDevice parent_obj; + + /*< public >*/ + uint32_t num_harts; + char *cpu_model; + RISCVCPU *harts; +} RISCVHartArrayState; + +#endif --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515638312564669.6481740259555; Wed, 10 Jan 2018 18:38:32 -0800 (PST) Received: from localhost ([::1]:60557 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSlD-0006x5-P5 for importer@patchew.org; Wed, 10 Jan 2018 21:38:31 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48125) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWm-0003Hc-45 for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:38 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWj-0008LC-LQ for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:36 -0500 Received: from mail-pf0-x242.google.com ([2607:f8b0:400e:c00::242]:46445) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWj-0008Ke-AR for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:33 -0500 Received: by mail-pf0-x242.google.com with SMTP id y5so16449pff.13 for ; Wed, 10 Jan 2018 18:23:33 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.31 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:31 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=95Be/yCp6frE7hMVq0hQVTZ3YAbEziCe90RpAdUT/bU=; b=bIyqVbyH2xQwGDd7VhJCWSjTjSGVLIP5yYK1XvxlDs0M+KE4xfhnwpLaUmKqGS2/x1 /PpH1SaejzQqok/GwMY4hVmmUYMHGPUjGa077n2J/Y5TxphC9VQWn2+jNe6oeS6KpvOo 1snFYwCqRPq5SfG15rM8lKoAJvd+et0l20Pkqocl9ff6S/he/KAUxzW4SoBZ8UAKILf6 /qSiF++wlnT/lB/oeVp5z8pglF0KhuMSFNSk1ZpOIQtGlqZaKnrTAAsha2Nsfh4oWmVu sXDl7Am+Kox4/h0TdY5Pay0opjyZ2oAKwLej5m4ClHqf7UNgOoTlOPiufFrh2Um28sVv ZV2Q== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=95Be/yCp6frE7hMVq0hQVTZ3YAbEziCe90RpAdUT/bU=; b=FovbEbmrwqQr86kSzSyq3QhLUzdi0r/x7lvG/SA4TLtOWyDrsZmbUZYms/oTa8NagO waquMtmgr9NcKXDRIbeRNQe/+kU9GRopgKLmY+VCjSmdyuqZqI6XVO6v24m6xJYBfHVc Qr91zo4ZAY4w1cfTXwMXfLuBHPkSUjMNbP5APMDxKUIP7mjTkMgQdHh7RKPWLFnlLjvM x1l9dsqva/OWBUzjex3oSb+22xdv8rTLfu+Ta6ocG02kn4seR2KKngLCWdGA+7+99GrT WUnQST+gkfjtT6XSyNwePKKZBevvr4JBxNurQwVSPrAKdhdXvO5/peSLMDw8FGAJmG7x DuSQ== X-Gm-Message-State: AKwxytc3kENHdBrOuEkQM8N9PpXFFEcTOW00eDjC0fWW83NGFRms4dfA OARgUMQYi0AqB7F8DfHUyV+W7PkoChE= X-Google-Smtp-Source: ACJfBotEiEeA6cd9YJ15Ex7y7LWm35rY1LXmMdE+pr5WH03kAXpPWXmU5dxYtxg7zBZsrVK8SGVlDw== X-Received: by 10.99.115.16 with SMTP id o16mr1490832pgc.362.1515637412123; Wed, 10 Jan 2018 18:23:32 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:56 -0800 Message-Id: <1515637324-96034-14-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c00::242 Subject: [Qemu-devel] [PATCH v3 13/21] SiFive RISC-V CLINT Block X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" The CLINT (Core Local Interruptor) device provides real-time clock, timer and interprocessor interrupts based on SiFive's CLINT specification. Signed-off-by: Michael Clark --- hw/riscv/sifive_clint.c | 312 ++++++++++++++++++++++++++++++++++++= ++++ include/hw/riscv/sifive_clint.h | 56 ++++++++ 2 files changed, 368 insertions(+) create mode 100644 hw/riscv/sifive_clint.c create mode 100644 include/hw/riscv/sifive_clint.h diff --git a/hw/riscv/sifive_clint.c b/hw/riscv/sifive_clint.c new file mode 100644 index 0000000..50d2a09 --- /dev/null +++ b/hw/riscv/sifive_clint.c @@ -0,0 +1,312 @@ +/* + * SiFive CLINT (Core Local Interruptor) + * + * Copyright (c) 2016-2017 Sagar Karandikar, sagark@eecs.berkeley.edu + * Copyright (c) 2017 SiFive, Inc. + * + * This provides real-time clock, timer and interprocessor interrupts. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#include "qemu/osdep.h" +#include "qemu/error-report.h" +#include "hw/sysbus.h" +#include "target/riscv/cpu.h" +#include "hw/riscv/sifive_clint.h" +#include "qemu/timer.h" + +/* See: riscv-pk/machine/sbi_entry.S and arch/riscv/kernel/time.c */ +#define TIMER_FREQ (10 * 1000 * 1000) + +uint64_t cpu_riscv_read_instret(CPURISCVState *env) +{ + return muldiv64(qemu_clock_get_ns(QEMU_CLOCK_VIRTUAL), TIMER_FREQ, + NANOSECONDS_PER_SECOND); +} + +uint64_t cpu_riscv_read_rtc(void) +{ + return muldiv64(qemu_clock_get_ns(QEMU_CLOCK_VIRTUAL), TIMER_FREQ, + NANOSECONDS_PER_SECOND); +} + +static void sifive_clint_irq_request(void *opaque, int irq, int level) +{ + /* These are not the same irq numbers visible to the emulated processo= r. */ + RISCVCPU *cpu =3D opaque; + CPURISCVState *env =3D &cpu->env; + CPUState *cs =3D CPU(cpu); + + /* The CLINT currently uses irq 0 */ + + if (level) { + cpu_interrupt(cs, CPU_INTERRUPT_HARD); + } else { + if (!env->mip && !env->mfromhost) { + /* no interrupts pending, no host interrupt for HTIF, reset */ + cpu_reset_interrupt(cs, CPU_INTERRUPT_HARD); + } + } +} + +/* + * Called when timecmp is written to update the QEMU timer or immediately + * trigger timer interrupt if mtimecmp <=3D current timer value. + */ +static void sifive_clint_timer_update(CPURISCVState *env) +{ + uint64_t next; + uint64_t diff; + + uint64_t rtc_r =3D cpu_riscv_read_rtc(); + + if (env->timecmp <=3D rtc_r) { + /* if we're setting an MTIMECMP value in the "past", + immediately raise the timer interrupt */ + env->mip |=3D MIP_MTIP; + qemu_irq_raise(env->irq[3]); + return; + } + + /* otherwise, set up the future timer interrupt */ + diff =3D env->timecmp - rtc_r; + /* back to ns (note args switched in muldiv64) */ + next =3D qemu_clock_get_ns(QEMU_CLOCK_VIRTUAL) + + muldiv64(diff, NANOSECONDS_PER_SECOND, TIMER_FREQ); + timer_mod(env->timer, next); +} + +/* + * Called by the callback used when the timer set using timer_mod expires. + * Should raise the timer interrupt line + */ +static void sifive_clint_timer_expire(CPURISCVState *env) +{ + /* do not call update here */ + env->mip |=3D MIP_MTIP; + qemu_irq_raise(env->irq[3]); +} + +static void sifive_clint_write_timecmp(CPURISCVState *env, uint64_t value) +{ + env->timecmp =3D value; + env->mip &=3D ~MIP_MTIP; + sifive_clint_timer_update(env); +} + +/* + * Callback used when the timer set using timer_mod expires. + */ +static void sifive_clint_timer_cb(void *opaque) +{ + CPURISCVState *env; + env =3D opaque; + sifive_clint_timer_expire(env); +} + +/* CPU wants to read rtc or timecmp register */ +static uint64_t sifive_clint_read(void *opaque, hwaddr addr, unsigned size) +{ + SiFiveCLINTState *clint =3D opaque; + if (addr >=3D clint->sip_base && + addr < clint->sip_base + (clint->num_harts << 2)) { + size_t hartid =3D (addr - clint->sip_base) >> 2; + CPUState *cpu =3D qemu_get_cpu(hartid); + CPURISCVState *env =3D cpu ? cpu->env_ptr : NULL; + if (!env) { + error_report("clint: invalid timecmp hartid: %zu", hartid); + } else if ((addr & 0x3) =3D=3D 0) { + return (env->mip & MIP_MSIP) > 0; + } else { + error_report("clint: invalid read: %08x", (uint32_t)addr); + return 0; + } + } else if (addr >=3D clint->timecmp_base && + addr < clint->timecmp_base + (clint->num_harts << 3)) { + size_t hartid =3D (addr - clint->timecmp_base) >> 3; + CPUState *cpu =3D qemu_get_cpu(hartid); + CPURISCVState *env =3D cpu ? cpu->env_ptr : NULL; + if (!env) { + error_report("clint: invalid timecmp hartid: %zu", hartid); + } else if ((addr & 0x7) =3D=3D 0) { + /* timecmp_lo */ + uint64_t timecmp =3D env->timecmp; + return timecmp & 0xFFFFFFFF; + } else if ((addr & 0x7) =3D=3D 4) { + /* timecmp_hi */ + uint64_t timecmp =3D env->timecmp; + return (timecmp >> 32) & 0xFFFFFFFF; + } else { + error_report("clint: invalid read: %08x", (uint32_t)addr); + return 0; + } + } else if (addr =3D=3D clint->time_base) { + /* time_lo */ + return cpu_riscv_read_rtc() & 0xFFFFFFFF; + } else if (addr =3D=3D clint->time_base + 4) { + /* time_hi */ + return (cpu_riscv_read_rtc() >> 32) & 0xFFFFFFFF; + } + + error_report("clint: invalid read: %08x", (uint32_t)addr); + return 0; +} + +/* CPU wrote to rtc or timecmp register */ +static void sifive_clint_write(void *opaque, hwaddr addr, uint64_t value, + unsigned size) +{ + SiFiveCLINTState *clint =3D opaque; + + if (addr >=3D clint->sip_base && + addr < clint->sip_base + (clint->num_harts << 2)) { + size_t hartid =3D (addr - clint->sip_base) >> 2; + CPUState *cpu =3D qemu_get_cpu(hartid); + CPURISCVState *env =3D cpu ? cpu->env_ptr : NULL; + if (!env) { + error_report("clint: invalid timecmp hartid: %zu", hartid); + } else if ((addr & 0x3) =3D=3D 0) { + if (value) { + env->mip |=3D MIP_MSIP; + qemu_irq_raise(env->irq[2]); + } else { + env->mip &=3D ~MIP_MSIP; + qemu_irq_lower(env->irq[2]); + } + } else { + error_report("clint: invalid sip write: %08x", (uint32_t)addr); + } + return; + } else if (addr >=3D clint->timecmp_base && + addr < clint->timecmp_base + (clint->num_harts << 3)) { + size_t hartid =3D (addr - clint->timecmp_base) >> 3; + CPUState *cpu =3D qemu_get_cpu(hartid); + CPURISCVState *env =3D cpu ? cpu->env_ptr : NULL; + if (!env) { + error_report("clint: invalid timecmp hartid: %zu", hartid); + } else if ((addr & 0x7) =3D=3D 0) { + /* timecmp_lo */ + uint64_t timecmp =3D env->timecmp; + sifive_clint_write_timecmp(env, + timecmp << 32 | (value & 0xFFFFFFFF)); + return; + } else if ((addr & 0x7) =3D=3D 4) { + /* timecmp_hi */ + uint64_t timecmp =3D env->timecmp; + sifive_clint_write_timecmp(env, + value << 32 | (timecmp & 0xFFFFFFFF)); + } else { + error_report("clint: invalid timecmp write: %08x", (uint32_t)a= ddr); + } + return; + } else if (addr =3D=3D clint->time_base) { + /* time_lo */ + error_report("clint: time_lo write not implemented"); + return; + } else if (addr =3D=3D clint->time_base + 4) { + /* time_hi */ + error_report("clint: time_hi write not implemented"); + return; + } + + error_report("clint: invalid write: %08x", (uint32_t)addr); +} + +static const MemoryRegionOps sifive_clint_ops =3D { + .read =3D sifive_clint_read, + .write =3D sifive_clint_write, + .endianness =3D DEVICE_LITTLE_ENDIAN, + .valid =3D { + .min_access_size =3D 4, + .max_access_size =3D 4 + } +}; + +static Property sifive_clint_properties[] =3D { + DEFINE_PROP_UINT32("num-harts", SiFiveCLINTState, num_harts, 0), + DEFINE_PROP_UINT32("sip-base", SiFiveCLINTState, sip_base, 0), + DEFINE_PROP_UINT32("timecmp-base", SiFiveCLINTState, timecmp_base, 0), + DEFINE_PROP_UINT32("time-base", SiFiveCLINTState, time_base, 0), + DEFINE_PROP_UINT32("aperture-size", SiFiveCLINTState, aperture_size, 0= ), + DEFINE_PROP_END_OF_LIST(), +}; + +static void sifive_clint_realize(DeviceState *dev, Error **errp) +{ + SiFiveCLINTState *s =3D SIFIVE_CLINT(dev); + memory_region_init_io(&s->mmio, OBJECT(dev), &sifive_clint_ops, s, + TYPE_SIFIVE_CLINT, s->aperture_size); + sysbus_init_mmio(SYS_BUS_DEVICE(dev), &s->mmio); +} + +static void sifive_clint_class_init(ObjectClass *klass, void *data) +{ + DeviceClass *dc =3D DEVICE_CLASS(klass); + dc->realize =3D sifive_clint_realize; + dc->props =3D sifive_clint_properties; +} + +static const TypeInfo sifive_clint_info =3D { + .name =3D TYPE_SIFIVE_CLINT, + .parent =3D TYPE_SYS_BUS_DEVICE, + .instance_size =3D sizeof(SiFiveCLINTState), + .class_init =3D sifive_clint_class_init, +}; + +static void sifive_clint_register_types(void) +{ + type_register_static(&sifive_clint_info); +} + +type_init(sifive_clint_register_types) + + +/* + * Create CLINT device. + */ +DeviceState *sifive_clint_create(hwaddr addr, hwaddr size, uint32_t num_ha= rts, + uint32_t sip_base, uint32_t timecmp_base, uint32_t time_base) +{ + int i, j; + for (i =3D 0; i < num_harts; i++) { + CPUState *cpu =3D qemu_get_cpu(i); + CPURISCVState *env =3D cpu ? cpu->env_ptr : NULL; + if (!env) { + continue; + } + for (j =3D 0; j < MAX_RISCV_IRQ; j++) { + env->irq[j] =3D qemu_allocate_irq(sifive_clint_irq_request, + riscv_env_get_cpu(env), 0 /* irq 0 */); + } + env->timer =3D timer_new_ns(QEMU_CLOCK_VIRTUAL, + &sifive_clint_timer_cb, env); + env->timecmp =3D 0; + } + + DeviceState *dev =3D qdev_create(NULL, TYPE_SIFIVE_CLINT); + qdev_prop_set_uint32(dev, "num-harts", num_harts); + qdev_prop_set_uint32(dev, "sip-base", sip_base); + qdev_prop_set_uint32(dev, "timecmp-base", timecmp_base); + qdev_prop_set_uint32(dev, "time-base", time_base); + qdev_prop_set_uint32(dev, "aperture-size", size); + qdev_init_nofail(dev); + sysbus_mmio_map(SYS_BUS_DEVICE(dev), 0, addr); + return dev; +} diff --git a/include/hw/riscv/sifive_clint.h b/include/hw/riscv/sifive_clin= t.h new file mode 100644 index 0000000..cec3fbd --- /dev/null +++ b/include/hw/riscv/sifive_clint.h @@ -0,0 +1,56 @@ +/* + * SiFive CLINT (Core Local Interruptor) interface + * + * Copyright (c) 2016-2017 Sagar Karandikar, sagark@eecs.berkeley.edu + * Copyright (c) 2017 SiFive, Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#ifndef HW_SIFIVE_CLINT_H +#define HW_SIFIVE_CLINT_H + +#define TYPE_SIFIVE_CLINT "riscv.sifive.clint" + +#define SIFIVE_CLINT(obj) \ + OBJECT_CHECK(SiFiveCLINTState, (obj), TYPE_SIFIVE_CLINT) + +typedef struct SiFiveCLINTState { + /*< private >*/ + SysBusDevice parent_obj; + + /*< public >*/ + MemoryRegion mmio; + uint32_t num_harts; + uint32_t sip_base; + uint32_t timecmp_base; + uint32_t time_base; + uint32_t aperture_size; +} SiFiveCLINTState; + +DeviceState *sifive_clint_create(hwaddr addr, hwaddr size, uint32_t num_ha= rts, + uint32_t sip_base, uint32_t timecmp_base, uint32_t time_base); + +enum { + SIFIVE_SIP_BASE =3D 0x0, + SIFIVE_TIMECMP_BASE =3D 0x4000, + SIFIVE_TIME_BASE =3D 0xBFF8 +}; + +#endif --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515637969422842.852413876966; Wed, 10 Jan 2018 18:32:49 -0800 (PST) Received: from localhost ([::1]:59952 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSfg-0002Gi-A0 for importer@patchew.org; Wed, 10 Jan 2018 21:32:48 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48170) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWp-0003LV-4c for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:43 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWk-0008Lx-Nk for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:39 -0500 Received: from mail-pg0-x241.google.com ([2607:f8b0:400e:c05::241]:43110) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWk-0008LP-EC for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:34 -0500 Received: by mail-pg0-x241.google.com with SMTP id f14so1645444pga.10 for ; Wed, 10 Jan 2018 18:23:34 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.32 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:32 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=RDJNvs+Z8fdb64pbYpsfZEsM3oRhyMJvG4CWUIJm08E=; b=kp+kxeaZbSrmYEvwT52Qe1Jsj0j9yEFbI4TB4jdijd/bAc+iOgQsF59tdImXQIfP7/ gOV9ydaOwQ7znt0A0+kokKveHhiLU7yWXXuukL4kBZlwb6R1q0/RhRRsDQx0k5+jBUiO IafWR9IHNHjKVeOqVfjRW6zWUxXEUSpee+JBOaXSD0R7EkHRaLrSpWC79mUEYZCjZIu8 bgsf6q2HHIlmvAAG2I2BzCTHVio66QIaORWX3v1mWAzYwuGhN9k8jQ+FHynKTMbACod6 JFIqwgYLBz+8ZIzJ2ynQAF1twleTUbkElE1FT0lGwrjFYQ8Gm0JORwA1KJOHYZSiFAoB rezQ== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=RDJNvs+Z8fdb64pbYpsfZEsM3oRhyMJvG4CWUIJm08E=; b=a5BgbtcpLxAcQOyQ0aMPPOVPg2rl+Gnd4nIJ54r+G0QPDlxYUl1oc/efTN9jG6ojQp OXWWdDrPDljXHIdD0gTSM3iDafIlbZ8nf+zJNd+iCmy2d9gtuOrc46fedGNuZWwovfIt ofL5RtwLvMDceGvjI2FWoSVr/CccfPMlChMbi1ZScpcAf//K1E3nXRBpy6GjyhNb2dor c9usSN7S1KboHvVmeDxTIA3OfXFjwNCsb7Voj4keFZ/K9sOVD/ApGmNCeUVhAyiW360C IMQv8FxzFK4bTcDPmZYPY9p6/yx/RSnYHoFdnCfDnQ1+TZ9cWX6cp0oHGAp0equ8mFjd vBRQ== X-Gm-Message-State: AKwxytcGkY9ZHWi4u2X3/nlcYCqnJ9ZLVbHbZJ8G280ajZhDnpyAsQDp R5AJdnpJOQp9dX5Nj+zn8jdwitvpV6c= X-Google-Smtp-Source: ACJfBos4u20OmiUjcq0aROZWBmPVWbsufdkmpTMVy8H1ohM2KYV5ijJYZZhFXXTFBkbFqhIqrr3qsw== X-Received: by 10.99.106.69 with SMTP id f66mr3657783pgc.283.1515637413209; Wed, 10 Jan 2018 18:23:33 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:57 -0800 Message-Id: <1515637324-96034-15-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c05::241 Subject: [Qemu-devel] [PATCH v3 14/21] SiFive RISC-V PLIC Block X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" The PLIC (Platform Level Interrupt Controller) device provides a parameterizable interrupt controller based on SiFive's PLIC specification. Signed-off-by: Michael Clark --- hw/riscv/sifive_plic.c | 554 +++++++++++++++++++++++++++++++++++++= ++++ include/hw/riscv/sifive_plic.h | 91 +++++++ 2 files changed, 645 insertions(+) create mode 100644 hw/riscv/sifive_plic.c create mode 100644 include/hw/riscv/sifive_plic.h diff --git a/hw/riscv/sifive_plic.c b/hw/riscv/sifive_plic.c new file mode 100644 index 0000000..10942c2 --- /dev/null +++ b/hw/riscv/sifive_plic.c @@ -0,0 +1,554 @@ +/* + * SiFive PLIC (Platform Level Interrupt Controller) + * + * Copyright (c) 2017 SiFive, Inc. + * + * This provides a parameterizable interrupt controller based on SiFive's = PLIC. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#include "qemu/osdep.h" +#include "qemu/error-report.h" +#include "hw/sysbus.h" +#include "target/riscv/cpu.h" +#include "hw/riscv/sifive_plic.h" + +#define RISCV_DEBUG_PLIC 0 + +static PLICMode char_to_mode(char c) +{ + switch (c) { + case 'U': return PLICMode_U; + case 'S': return PLICMode_S; + case 'H': return PLICMode_H; + case 'M': return PLICMode_M; + default: + error_report("plic: invalid mode '%c'", c); + exit(1); + } +} + +static char mode_to_char(PLICMode m) +{ + switch (m) { + case PLICMode_U: return 'U'; + case PLICMode_S: return 'S'; + case PLICMode_H: return 'H'; + case PLICMode_M: return 'M'; + default: return '?'; + } +} + +static void sifive_plic_print_state(SiFivePLICState *plic) +{ + int i; + int addrid; + + /* pending */ + printf("pending : "); + for (i =3D plic->bitfield_words - 1; i >=3D 0; i--) { + printf("%08x", plic->pending[i]); + } + printf("\n"); + + /* pending */ + printf("claimed : "); + for (i =3D plic->bitfield_words - 1; i >=3D 0; i--) { + printf("%08x", plic->claimed[i]); + } + printf("\n"); + + for (addrid =3D 0; addrid < plic->num_addrs; addrid++) { + printf("hart%d-%c enable: ", + plic->addr_config[addrid].hartid, + mode_to_char(plic->addr_config[addrid].mode)); + for (i =3D plic->bitfield_words - 1; i >=3D 0; i--) { + printf("%08x", plic->enable[addrid * plic->bitfield_words + i]= ); + } + printf("\n"); + } +} + +static +void sifive_plic_set_pending(SiFivePLICState *plic, int irq, bool pending) +{ + qemu_mutex_lock(&plic->lock); + uint32_t word =3D irq >> 5; + if (pending) { + plic->pending[word] |=3D (1 << (irq & 31)); + } else { + plic->pending[word] &=3D ~(1 << (irq & 31)); + } + qemu_mutex_unlock(&plic->lock); +} + +static +void sifive_plic_set_claimed(SiFivePLICState *plic, int irq, bool claimed) +{ + qemu_mutex_lock(&plic->lock); + uint32_t word =3D irq >> 5; + if (claimed) { + plic->claimed[word] |=3D (1 << (irq & 31)); + } else { + plic->claimed[word] &=3D ~(1 << (irq & 31)); + } + qemu_mutex_unlock(&plic->lock); +} + +static +int sifive_plic_num_irqs_pending(SiFivePLICState *plic, uint32_t addrid) +{ + int i, j, count =3D 0; + for (i =3D 0; i < plic->bitfield_words; i++) { + uint32_t pending_enabled_not_claimed =3D + (plic->pending[i] & ~plic->claimed[i]) & + plic->enable[addrid * plic->bitfield_words + i]; + if (!pending_enabled_not_claimed) { + continue; + } + for (j =3D 0; j < 32; j++) { + int irq =3D (i << 5) + j; + uint32_t prio =3D plic->source_priority[irq]; + int enabled =3D pending_enabled_not_claimed & (1 << j); + if (enabled && prio > plic->target_priority[addrid]) { + count++; + } + } + } + return count; +} + +static void sifive_plic_update(SiFivePLICState *plic) +{ + int addrid; + + /* raise irq on harts where this irq is enabled */ + for (addrid =3D 0; addrid < plic->num_addrs; addrid++) { + uint32_t hartid =3D plic->addr_config[addrid].hartid; + PLICMode mode =3D plic->addr_config[addrid].mode; + CPUState *cpu =3D qemu_get_cpu(hartid); + CPURISCVState *env =3D cpu ? cpu->env_ptr : NULL; + int count =3D 0; + if (!env) { + continue; + } + if (sifive_plic_num_irqs_pending(plic, addrid) > 0) { + switch (mode) { + case PLICMode_M: + if ((env->mip & MIP_MEIP) =3D=3D 0) { + env->mip |=3D MIP_MEIP; + count++; + if (RISCV_DEBUG_PLIC) { + printf("sifive_plic_update: RAISE hart%d-%c\n", + hartid, mode_to_char(mode)); + } + } + break; + case PLICMode_S: + if ((env->mip & MIP_SEIP) =3D=3D 0) { + env->mip |=3D MIP_SEIP; + count++; + if (RISCV_DEBUG_PLIC) { + printf("sifive_plic_update: RAISE hart%d-%c\n", + hartid, mode_to_char(mode)); + } + } + break; + default: + break; + } + } else { + switch (mode) { + case PLICMode_M: + if (env->mip & MIP_MEIP) { + env->mip &=3D ~MIP_MEIP; + if (RISCV_DEBUG_PLIC) { + printf("sifive_plic_update: LOWER hart%d-%c\n", + hartid, mode_to_char(mode)); + } + } + break; + case PLICMode_S: + if (env->mip & MIP_SEIP) { + env->mip &=3D ~MIP_SEIP; + if (RISCV_DEBUG_PLIC) { + printf("sifive_plic_update: LOWER hart%d-%c\n", + hartid, mode_to_char(mode)); + } + } + break; + default: + break; + } + } + if (count > 0) { + cpu_interrupt(cpu, CPU_INTERRUPT_HARD); + } + } + + if (RISCV_DEBUG_PLIC) { + sifive_plic_print_state(plic); + } +} + +void sifive_plic_raise_irq(SiFivePLICState *plic, uint32_t irq) +{ + sifive_plic_set_pending(plic, irq, true); + sifive_plic_update(plic); +} + +void sifive_plic_lower_irq(SiFivePLICState *plic, uint32_t irq) +{ + sifive_plic_set_pending(plic, irq, false); + sifive_plic_update(plic); +} + +static uint32_t sifive_plic_claim(SiFivePLICState *plic, uint32_t addrid) +{ + int i, j; + for (i =3D 0; i < plic->bitfield_words; i++) { + uint32_t pending_enabled_not_claimed =3D + (plic->pending[i] & ~plic->claimed[i]) & + plic->enable[addrid * plic->bitfield_words + i]; + if (!pending_enabled_not_claimed) { + continue; + } + for (j =3D 0; j < 32; j++) { + int irq =3D (i << 5) + j; + uint32_t prio =3D plic->source_priority[irq]; + int enabled =3D pending_enabled_not_claimed & (1 << j); + if (enabled && prio > plic->target_priority[addrid]) { + sifive_plic_set_pending(plic, irq, false); + sifive_plic_set_claimed(plic, irq, true); + return irq; + } + } + } + return 0; +} + +/* CPU wants to read rtc or timecmp register */ +static uint64_t sifive_plic_read(void *opaque, hwaddr addr, unsigned size) +{ + SiFivePLICState *plic =3D opaque; + + /* writes must be 4 byte words */ + if ((addr & 0x3) !=3D 0) { + goto err; + } + + if (addr >=3D plic->priority_base && /* 4 bytes per source */ + addr < plic->priority_base + (plic->num_sources << 2)) + { + uint32_t irq =3D (addr - plic->priority_base) >> 2; + if (RISCV_DEBUG_PLIC) { + printf("plic: read priority: irq=3D%d priority=3D%d\n", + irq, plic->source_priority[irq]); + } + return plic->source_priority[irq]; + } else if (addr >=3D plic->pending_base && /* 1 bit per source */ + addr < plic->pending_base + (plic->num_sources >> 3)) + { + uint32_t word =3D (addr - plic->priority_base) >> 2; + if (RISCV_DEBUG_PLIC) { + printf("plic: read pending: word=3D%d value=3D%d\n", + word, plic->pending[word]); + } + return plic->pending[word]; + } else if (addr >=3D plic->enable_base && /* 1 bit per source */ + addr < plic->enable_base + plic->num_addrs * plic->enable_str= ide) + { + uint32_t addrid =3D (addr - plic->enable_base) / plic->enable_stri= de; + uint32_t wordid =3D (addr & (plic->enable_stride - 1)) >> 2; + if (wordid < plic->bitfield_words) { + if (RISCV_DEBUG_PLIC) { + printf("plic: read enable: hart%d-%c word=3D%d value=3D%x\= n", + plic->addr_config[addrid].hartid, + mode_to_char(plic->addr_config[addrid].mode), wordid, + plic->enable[addrid * plic->bitfield_words + wordid]); + } + return plic->enable[addrid * plic->bitfield_words + wordid]; + } + } else if (addr >=3D plic->context_base && /* 1 bit per source */ + addr < plic->context_base + plic->num_addrs * plic->context_s= tride) + { + uint32_t addrid =3D (addr - plic->context_base) / plic->context_st= ride; + uint32_t contextid =3D (addr & (plic->context_stride - 1)); + if (contextid =3D=3D 0) { + if (RISCV_DEBUG_PLIC) { + printf("plic: read priority: hart%d-%c priority=3D%x\n", + plic->addr_config[addrid].hartid, + mode_to_char(plic->addr_config[addrid].mode), + plic->target_priority[addrid]); + } + return plic->target_priority[addrid]; + } else if (contextid =3D=3D 4) { + uint32_t value =3D sifive_plic_claim(plic, addrid); + if (RISCV_DEBUG_PLIC) { + printf("plic: read claim: hart%d-%c irq=3D%x\n", + plic->addr_config[addrid].hartid, + mode_to_char(plic->addr_config[addrid].mode), + value); + sifive_plic_print_state(plic); + } + return value; + } + } + +err: + error_report("plic: invalid register read: %08x", (uint32_t)addr); + return 0; +} + +/* CPU wrote to rtc or timecmp register */ +static void sifive_plic_write(void *opaque, hwaddr addr, uint64_t value, + unsigned size) +{ + SiFivePLICState *plic =3D opaque; + + /* writes must be 4 byte words */ + if ((addr & 0x3) !=3D 0) { + goto err; + } + + if (addr >=3D plic->priority_base && /* 4 bytes per source */ + addr < plic->priority_base + (plic->num_sources << 2)) + { + uint32_t irq =3D (addr - plic->priority_base) >> 2; + plic->source_priority[irq] =3D value & 7; + if (RISCV_DEBUG_PLIC) { + printf("plic: write priority: irq=3D%d priority=3D%d\n", + irq, plic->source_priority[irq]); + } + return; + } else if (addr >=3D plic->pending_base && /* 1 bit per source */ + addr < plic->pending_base + (plic->num_sources >> 3)) + { + error_report("plic: invalid pending write: %08x", (uint32_t)addr); + return; + } else if (addr >=3D plic->enable_base && /* 1 bit per source */ + addr < plic->enable_base + plic->num_addrs * plic->enable_stride) + { + uint32_t addrid =3D (addr - plic->enable_base) / plic->enable_stri= de; + uint32_t wordid =3D (addr & (plic->enable_stride - 1)) >> 2; + if (wordid < plic->bitfield_words) { + plic->enable[addrid * plic->bitfield_words + wordid] =3D value; + if (RISCV_DEBUG_PLIC) { + printf("plic: write enable: hart%d-%c word=3D%d value=3D%x= \n", + plic->addr_config[addrid].hartid, + mode_to_char(plic->addr_config[addrid].mode), wordid, + plic->enable[addrid * plic->bitfield_words + wordid]); + } + return; + } + } else if (addr >=3D plic->context_base && /* 4 bytes per reg */ + addr < plic->context_base + plic->num_addrs * plic->context_stride) + { + uint32_t addrid =3D (addr - plic->context_base) / plic->context_st= ride; + uint32_t contextid =3D (addr & (plic->context_stride - 1)); + if (contextid =3D=3D 0) { + if (RISCV_DEBUG_PLIC) { + printf("plic: write priority: hart%d-%c priority=3D%x\n", + plic->addr_config[addrid].hartid, + mode_to_char(plic->addr_config[addrid].mode), + plic->target_priority[addrid]); + } + if (value <=3D plic->num_priorities) { + plic->target_priority[addrid] =3D value; + sifive_plic_update(plic); + } + return; + } else if (contextid =3D=3D 4) { + if (RISCV_DEBUG_PLIC) { + printf("plic: write claim: hart%d-%c irq=3D%x\n", + plic->addr_config[addrid].hartid, + mode_to_char(plic->addr_config[addrid].mode), + (uint32_t)value); + } + if (value < plic->num_sources) { + sifive_plic_set_claimed(plic, value, false); + sifive_plic_update(plic); + } + return; + } + } + +err: + error_report("plic: invalid register write: %08x", (uint32_t)addr); +} + +static const MemoryRegionOps sifive_plic_ops =3D { + .read =3D sifive_plic_read, + .write =3D sifive_plic_write, + .endianness =3D DEVICE_LITTLE_ENDIAN, + .valid =3D { + .min_access_size =3D 4, + .max_access_size =3D 4 + } +}; + +static Property sifive_plic_properties[] =3D { + DEFINE_PROP_STRING("hart-config", SiFivePLICState, hart_config), + DEFINE_PROP_UINT32("num-sources", SiFivePLICState, num_sources, 0), + DEFINE_PROP_UINT32("num-priorities", SiFivePLICState, num_priorities, = 0), + DEFINE_PROP_UINT32("priority-base", SiFivePLICState, priority_base, 0), + DEFINE_PROP_UINT32("pending-base", SiFivePLICState, pending_base, 0), + DEFINE_PROP_UINT32("enable-base", SiFivePLICState, enable_base, 0), + DEFINE_PROP_UINT32("enable-stride", SiFivePLICState, enable_stride, 0), + DEFINE_PROP_UINT32("context-base", SiFivePLICState, context_base, 0), + DEFINE_PROP_UINT32("context-stride", SiFivePLICState, context_stride, = 0), + DEFINE_PROP_UINT32("aperture-size", SiFivePLICState, aperture_size, 0), + DEFINE_PROP_END_OF_LIST(), +}; + +/* + * parse PLIC hart/mode address offset config + * + * "M" 1 hart with M mode + * "MS,MS" 2 harts, 0-1 with M and S mode + * "M,MS,MS,MS,MS" 5 harts, 0 with M mode, 1-5 with M and S mode + */ +static void parse_hart_config(SiFivePLICState *plic) +{ + int addrid, hartid, modes; + const char *p; + char c; + + /* count and validate hart/mode combinations */ + addrid =3D 0, hartid =3D 0, modes =3D 0; + p =3D plic->hart_config; + while ((c =3D *p++)) { + if (c =3D=3D ',') { + addrid +=3D __builtin_popcount(modes); + modes =3D 0; + hartid++; + } else { + int m =3D 1 << char_to_mode(c); + if (modes =3D=3D (modes | m)) { + error_report("plic: duplicate mode '%c' in config: %s", + c, plic->hart_config); + exit(1); + } + modes |=3D m; + } + } + if (modes) { + addrid +=3D __builtin_popcount(modes); + } + hartid++; + + /* store hart/mode combinations */ + plic->num_addrs =3D addrid; + plic->addr_config =3D g_new(PLICAddr, plic->num_addrs); + addrid =3D 0, hartid =3D 0; + p =3D plic->hart_config; + while ((c =3D *p++)) { + if (c =3D=3D ',') { + hartid++; + } else { + plic->addr_config[addrid].addrid =3D addrid; + plic->addr_config[addrid].hartid =3D hartid; + plic->addr_config[addrid].mode =3D char_to_mode(c); + addrid++; + } + } +} + +static void sifive_plic_irq_request(void *opaque, int irq, int level) +{ + SiFivePLICState *plic =3D opaque; + if (RISCV_DEBUG_PLIC) { + printf("sifive_plic_irq_request: irq=3D%d level=3D%d\n", irq, leve= l); + } + sifive_plic_set_pending(plic, irq, level > 0); + sifive_plic_update(plic); +} + +static void sifive_plic_realize(DeviceState *dev, Error **errp) +{ + SiFivePLICState *plic =3D SIFIVE_PLIC(dev); + int i; + + memory_region_init_io(&plic->mmio, OBJECT(dev), &sifive_plic_ops, plic, + TYPE_SIFIVE_PLIC, plic->aperture_size); + parse_hart_config(plic); + qemu_mutex_init(&plic->lock); + plic->bitfield_words =3D (plic->num_sources + 31) >> 5; + plic->source_priority =3D g_new0(uint32_t, plic->num_sources); + plic->target_priority =3D g_new(uint32_t, plic->num_addrs); + plic->pending =3D g_new0(uint32_t, plic->bitfield_words); + plic->claimed =3D g_new0(uint32_t, plic->bitfield_words); + plic->enable =3D g_new0(uint32_t, plic->bitfield_words * plic->num_add= rs); + sysbus_init_mmio(SYS_BUS_DEVICE(dev), &plic->mmio); + plic->irqs =3D g_new0(qemu_irq, plic->num_sources + 1); + for (i =3D 0; i <=3D plic->num_sources; i++) { + plic->irqs[i] =3D qemu_allocate_irq(sifive_plic_irq_request, plic,= i); + } +} + +static void sifive_plic_class_init(ObjectClass *klass, void *data) +{ + DeviceClass *dc =3D DEVICE_CLASS(klass); + + dc->props =3D sifive_plic_properties; + dc->realize =3D sifive_plic_realize; +} + +static const TypeInfo sifive_plic_info =3D { + .name =3D TYPE_SIFIVE_PLIC, + .parent =3D TYPE_SYS_BUS_DEVICE, + .instance_size =3D sizeof(SiFivePLICState), + .class_init =3D sifive_plic_class_init, +}; + +static void sifive_plic_register_types(void) +{ + type_register_static(&sifive_plic_info); +} + +type_init(sifive_plic_register_types) + +/* + * Create PLIC device. + */ +DeviceState *sifive_plic_create(hwaddr addr, char *hart_config, + uint32_t num_sources, uint32_t num_priorities, + uint32_t priority_base, uint32_t pending_base, + uint32_t enable_base, uint32_t enable_stride, + uint32_t context_base, uint32_t context_stride, + uint32_t aperture_size) +{ + DeviceState *dev =3D qdev_create(NULL, TYPE_SIFIVE_PLIC); + assert(enable_stride =3D=3D (enable_stride & -enable_stride)); + assert(context_stride =3D=3D (context_stride & -context_stride)); + qdev_prop_set_string(dev, "hart-config", hart_config); + qdev_prop_set_uint32(dev, "num-sources", num_sources); + qdev_prop_set_uint32(dev, "num-priorities", num_priorities); + qdev_prop_set_uint32(dev, "priority-base", priority_base); + qdev_prop_set_uint32(dev, "pending-base", pending_base); + qdev_prop_set_uint32(dev, "enable-base", enable_base); + qdev_prop_set_uint32(dev, "enable-stride", enable_stride); + qdev_prop_set_uint32(dev, "context-base", context_base); + qdev_prop_set_uint32(dev, "context-stride", context_stride); + qdev_prop_set_uint32(dev, "aperture-size", aperture_size); + qdev_init_nofail(dev); + sysbus_mmio_map(SYS_BUS_DEVICE(dev), 0, addr); + return dev; +} diff --git a/include/hw/riscv/sifive_plic.h b/include/hw/riscv/sifive_plic.h new file mode 100644 index 0000000..e1be499 --- /dev/null +++ b/include/hw/riscv/sifive_plic.h @@ -0,0 +1,91 @@ +/* + * SiFive PLIC (Platform Level Interrupt Controller) interface + * + * Copyright (c) 2017 SiFive, Inc. + * + * This provides a RISC-V PLIC device + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#ifndef HW_SIFIVE_PLIC_H +#define HW_SIFIVE_PLIC_H + +#include "hw/irq.h" + +#define TYPE_SIFIVE_PLIC "riscv.sifive.plic" + +#define SIFIVE_PLIC(obj) \ + OBJECT_CHECK(SiFivePLICState, (obj), TYPE_SIFIVE_PLIC) + +typedef enum PLICMode { + PLICMode_U, + PLICMode_S, + PLICMode_H, + PLICMode_M +} PLICMode; + +typedef struct PLICAddr { + uint32_t addrid; + uint32_t hartid; + PLICMode mode; +} PLICAddr; + +typedef struct SiFivePLICState { + /*< private >*/ + SysBusDevice parent_obj; + + /*< public >*/ + MemoryRegion mmio; + uint32_t num_addrs; + uint32_t bitfield_words; + PLICAddr *addr_config; + uint32_t *source_priority; + uint32_t *target_priority; + uint32_t *pending; + uint32_t *claimed; + uint32_t *enable; + QemuMutex lock; + qemu_irq *irqs; + + /* config */ + char *hart_config; + uint32_t num_sources; + uint32_t num_priorities; + uint32_t priority_base; + uint32_t pending_base; + uint32_t enable_base; + uint32_t enable_stride; + uint32_t context_base; + uint32_t context_stride; + uint32_t aperture_size; +} SiFivePLICState; + +void sifive_plic_raise_irq(SiFivePLICState *plic, uint32_t irq); +void sifive_plic_lower_irq(SiFivePLICState *plic, uint32_t irq); + +DeviceState *sifive_plic_create(hwaddr addr, char *hart_config, + uint32_t num_sources, uint32_t num_priorities, + uint32_t priority_base, uint32_t pending_base, + uint32_t enable_base, uint32_t enable_stride, + uint32_t context_base, uint32_t context_stride, + uint32_t aperture_size); + +#endif + --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515638474687346.74196477728356; Wed, 10 Jan 2018 18:41:14 -0800 (PST) Received: from localhost ([::1]:60685 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSnp-0000NS-T3 for importer@patchew.org; Wed, 10 Jan 2018 21:41:13 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48178) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWp-0003Le-AO for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:43 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWl-0008Mg-SS for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:39 -0500 Received: from mail-pf0-x242.google.com ([2607:f8b0:400e:c00::242]:33804) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWl-0008MA-GW for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:35 -0500 Received: by mail-pf0-x242.google.com with SMTP id e76so16861pfk.1 for ; Wed, 10 Jan 2018 18:23:35 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.33 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:33 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=nRuYMHufWeeKl5uIXw0/njVbsfhAVEdmgiNvH3pQu0I=; b=UYScXFENeYBIau+6VLl06yJITYlnpXREuLICoLYu2OCvDdriLDakTskEZwaStW/kHU tp3wQQD/fveK18yC3J8KGJI0mYcPFIV191PUHDo3YMqgHAVyPZLQn7T19Ryh8A0W39e/ yKmbixN3YoRYkz7sT9dp8xrfU7VAOO9fiAwF8BXKGqT6igj0aSoS1eUy+qXMrmnkgyzA IyAFgselHd3MS7mDbu11f7XpwuznU/0byBe2zAEna/fycsgUITd+lUgjFd+9PMsSIA2a FWLYZPGXlJdsABjMQRJli5mC7CbVxACp2+m03ZvxDsmQ6SQD6FZ+9/ycqZ1irwKr18OX JiRg== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=nRuYMHufWeeKl5uIXw0/njVbsfhAVEdmgiNvH3pQu0I=; b=TxMQGUTaALi5kYItN7XHMOO1UMmngKY+o80gSXSawxoq+Z6DweLuV8aYSmGnLtQe/m 8E6Y7vZBGIyzHQRptkwL82z0Eu67wMz8C1FJ04rysNroEIak+QwdF1/NTecMSTAFZHls TeTfndfjov2dj4f8D+3aOMYDeig3ZYKt3AkMOYhteEUARZofqkam9jGmuNUzocWlFQ2m DkmYW03/RjM2lwrXbgn84uasB6AV76R3kTjCpKa9t+NCCR+4hansYUsnvIgj7RL8HdSh fqvDv6bKSMqEmRKFOUTWrgwldTb13VGDWS2PkcIIptEgUMRzokCLhx+JNIz3nEWuuFKl g99w== X-Gm-Message-State: AKGB3mJydUcY6DSHft9kV98n87MvaBUgeiFYNb1kwidX0+BN9FD0TQ0t 5gzK8NPQvhRJMPiYF0WkV4ijpfj2Q2g= X-Google-Smtp-Source: ACJfBov+jl+ZqZnQmZSWVmX26FL/+EURlMAmRfXOeNMuz9mm5CdpCtOCxeRGKcZB3loP/ec3MHrWUA== X-Received: by 10.101.75.204 with SMTP id p12mr16165829pgr.18.1515637414273; Wed, 10 Jan 2018 18:23:34 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:58 -0800 Message-Id: <1515637324-96034-16-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c00::242 Subject: [Qemu-devel] [PATCH v3 15/21] RISC-V Spike Machines X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" RISC-V machines compatble with Spike aka riscv-isa-sim, the RISC-V Instruction Set Simulator. The following machines are implemented: - 'spike_v1.9'; HTIF console, config-string, Privileged ISA Version 1.9.1 - 'spike_v1.10'; HTIF console, device-tree, Privileged ISA Version 1.10 Signed-off-by: Michael Clark --- hw/riscv/spike_v1_09.c | 207 ++++++++++++++++++++++++++++++++++ hw/riscv/spike_v1_10.c | 281 +++++++++++++++++++++++++++++++++++++++++++= ++++ include/hw/riscv/spike.h | 51 +++++++++ 3 files changed, 539 insertions(+) create mode 100644 hw/riscv/spike_v1_09.c create mode 100644 hw/riscv/spike_v1_10.c create mode 100644 include/hw/riscv/spike.h diff --git a/hw/riscv/spike_v1_09.c b/hw/riscv/spike_v1_09.c new file mode 100644 index 0000000..9b32c6a --- /dev/null +++ b/hw/riscv/spike_v1_09.c @@ -0,0 +1,207 @@ +/* + * QEMU RISC-V Spike Board + * + * Author: Sagar Karandikar, sagark@eecs.berkeley.edu + * + * This provides a RISC-V Board with the following devices: + * + * 0) HTIF Test Pass/Fail Reporting (no syscall proxy) + * 1) HTIF Console + * + * These are created by htif_mm_init below. + * + * This board currently uses a hardcoded devicetree that indicates one har= t. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#include "qemu/osdep.h" +#include "qemu/log.h" +#include "qemu/error-report.h" +#include "hw/hw.h" +#include "hw/boards.h" +#include "hw/loader.h" +#include "hw/sysbus.h" +#include "target/riscv/cpu.h" +#include "hw/riscv/riscv_htif.h" +#include "hw/riscv/riscv_hart.h" +#include "hw/riscv/sifive_clint.h" +#include "hw/riscv/spike.h" +#include "chardev/char.h" +#include "sysemu/arch_init.h" +#include "exec/address-spaces.h" +#include "elf.h" + +static const struct MemmapEntry { + hwaddr base; + hwaddr size; +} spike_memmap[] =3D { + [SPIKE_MROM] =3D { 0x1000, 0x2000 }, + [SPIKE_CLINT] =3D { 0x2000000, 0x10000 }, + [SPIKE_DRAM] =3D { 0x80000000, 0x0 }, +}; + +static uint64_t identity_translate(void *opaque, uint64_t addr) +{ + return addr; +} + +static uint64_t load_kernel(const char *kernel_filename) +{ + uint64_t kernel_entry, kernel_high; + + if (load_elf(kernel_filename, identity_translate, NULL, + &kernel_entry, NULL, &kernel_high, + /* little_endian =3D */ 0, ELF_MACHINE, 1, 0) < 0) { + error_report("qemu: could not load kernel '%s'", kernel_filename); + exit(1); + } + return kernel_entry; +} + +static void riscv_spike_board_init(MachineState *machine) +{ + const struct MemmapEntry *memmap =3D spike_memmap; + + SpikeState *s =3D g_new0(SpikeState, 1); + /* const char *cpu_model =3D machine->cpu_model; */ + /* const char *kernel_cmdline =3D machine->kernel_cmdline; */ + /* const char *initrd_filename =3D machine->initrd_filename; */ + MemoryRegion *system_memory =3D get_system_memory(); + MemoryRegion *main_mem =3D g_new(MemoryRegion, 1); + MemoryRegion *boot_rom =3D g_new(MemoryRegion, 1); + + /* Initialize SOC */ + object_initialize(&s->soc, sizeof(s->soc), TYPE_RISCV_HART_ARRAY); + object_property_add_child(OBJECT(machine), "soc", OBJECT(&s->soc), + &error_abort); + object_property_set_str(OBJECT(&s->soc), TYPE_RISCV_CPU_IMAFDCSU_PRIV_= 1_09, + "cpu-model", &error_abort); + object_property_set_int(OBJECT(&s->soc), smp_cpus, "num-harts", + &error_abort); + object_property_set_bool(OBJECT(&s->soc), true, "realized", + &error_abort); + + /* register system main memory (actual RAM) */ + memory_region_init_ram(main_mem, NULL, "riscv.spike.ram", + machine->ram_size, &error_fatal); + memory_region_add_subregion(system_memory, DRAM_BASE, main_mem); + + /* boot rom */ + memory_region_init_ram(boot_rom, NULL, "riscv.spike.bootrom", + 0x40000, &error_fatal); + memory_region_add_subregion(system_memory, 0x0, boot_rom); + + if (machine->kernel_filename) { + load_kernel(machine->kernel_filename); + } + + uint32_t reset_vec[8] =3D { + 0x297 + memmap[SPIKE_DRAM].base - memmap[SPIKE_MROM].base, /* lui = */ + 0x00028067, /* jump to DRAM_BASE */ + 0x00000000, /* reserved */ + memmap[SPIKE_MROM].base + sizeof(reset_vec), /* config string poin= ter */ + 0, 0, 0, 0 /* trap vector */ + }; + + /* part one of config string - before memory size specified */ + const char *config_string_tmpl =3D + "platform {\n" + " vendor ucb;\n" + " arch spike;\n" + "};\n" + "rtc {\n" + " addr 0x" "40000000" ";\n" + "};\n" + "ram {\n" + " 0 {\n" + " addr 0x" "80000000" ";\n" + " size 0x" "%016" PRIx64 ";\n" + " };\n" + "};\n" + "core {\n" + " 0" " {\n" + " " "0 {\n" + " isa " "rv64imafd" ";\n" + " timecmp 0x" "40000008" ";\n" + " ipi 0x" "40001000" ";\n" /* match dummy ipi region above */ + " };\n" + " };\n" + "};\n"; + + /* build config string with supplied memory size */ + size_t config_string_size =3D strlen(config_string_tmpl) + 16; + char *config_string =3D malloc(config_string_size); + snprintf(config_string, config_string_size, + config_string_tmpl, (uint64_t)ram_size); + size_t config_string_len =3D strlen(config_string); + + /* copy in the reset vector */ + cpu_physical_memory_write(memmap[SPIKE_MROM].base, reset_vec, + sizeof(reset_vec)); + + /* copy in the config string */ + cpu_physical_memory_write(memmap[SPIKE_MROM].base + sizeof(reset_vec), + config_string, config_string_len); + + /* add memory mapped htif registers at location specified in the symbol + table of the elf being loaded (thus kernel_filename is passed to the + init rather than an address) */ + htif_mm_init(system_memory, machine->kernel_filename, + s->soc.harts[0].env.irq[4], boot_rom, + &s->soc.harts[0].env, serial_hds[0]); + + /* Core Local Interruptor (timer and IPI) */ + sifive_clint_create(0x40000000, 0x2000, smp_cpus, 0x1000, 0x8, 0x0); +} + +static int riscv_spike_board_sysbus_device_init(SysBusDevice *sysbusdev) +{ + return 0; +} + +static void riscv_spike_board_class_init(ObjectClass *klass, void *data) +{ + SysBusDeviceClass *k =3D SYS_BUS_DEVICE_CLASS(klass); + k->init =3D riscv_spike_board_sysbus_device_init; +} + +static const TypeInfo riscv_spike_board_device =3D { + .name =3D TYPE_RISCV_SPIKE_V1_09_1_BOARD, + .parent =3D TYPE_SYS_BUS_DEVICE, + .instance_size =3D sizeof(SpikeState), + .class_init =3D riscv_spike_board_class_init, +}; + +static void riscv_spike_board_machine_init(MachineClass *mc) +{ + mc->desc =3D "RISC-V Spike Board (Privileged ISA v1.9.1)"; + mc->init =3D riscv_spike_board_init; + mc->max_cpus =3D 1; + mc->is_default =3D 1; +} + +DEFINE_MACHINE("spike_v1.9", riscv_spike_board_machine_init) + +static void riscv_spike_board_register_types(void) +{ + type_register_static(&riscv_spike_board_device); +} + +type_init(riscv_spike_board_register_types); diff --git a/hw/riscv/spike_v1_10.c b/hw/riscv/spike_v1_10.c new file mode 100644 index 0000000..4edff49 --- /dev/null +++ b/hw/riscv/spike_v1_10.c @@ -0,0 +1,281 @@ +/* + * QEMU RISC-V Spike Board + * + * Author: Sagar Karandikar, sagark@eecs.berkeley.edu + * Author: Michael Clark, mjc@sifive.com + * + * This provides a RISC-V Board with the following devices: + * + * 0) HTIF Test Pass/Fail Reporting (no syscall proxy) + * 1) HTIF Console + * + * These are created by htif_mm_init below. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#include "qemu/osdep.h" +#include "qemu/log.h" +#include "qemu/error-report.h" +#include "hw/hw.h" +#include "hw/boards.h" +#include "hw/loader.h" +#include "hw/sysbus.h" +#include "target/riscv/cpu.h" +#include "hw/riscv/riscv_htif.h" +#include "hw/riscv/riscv_hart.h" +#include "hw/riscv/sifive_clint.h" +#include "hw/riscv/spike.h" +#include "chardev/char.h" +#include "sysemu/arch_init.h" +#include "sysemu/device_tree.h" +#include "exec/address-spaces.h" +#include "elf.h" + +static const struct MemmapEntry { + hwaddr base; + hwaddr size; +} spike_memmap[] =3D { + [SPIKE_MROM] =3D { 0x1000, 0x2000 }, + [SPIKE_CLINT] =3D { 0x2000000, 0x10000 }, + [SPIKE_DRAM] =3D { 0x80000000, 0x0 }, +}; + +static uint64_t identity_translate(void *opaque, uint64_t addr) +{ + return addr; +} + +static uint64_t load_kernel(const char *kernel_filename) +{ + uint64_t kernel_entry, kernel_high; + + if (load_elf(kernel_filename, identity_translate, NULL, + &kernel_entry, NULL, &kernel_high, + /* little_endian =3D */ 0, ELF_MACHINE, 1, 0) < 0) { + error_report("qemu: could not load kernel '%s'", kernel_filename); + exit(1); + } + return kernel_entry; +} + +static void create_fdt(SpikeState *s, const struct MemmapEntry *memmap, + uint64_t mem_size, const char *cmdline) +{ + void *fdt; + int cpu; + uint32_t *cells; + char *nodename; + + fdt =3D s->fdt =3D create_device_tree(&s->fdt_size); + if (!fdt) { + error_report("create_device_tree() failed"); + exit(1); + } + + qemu_fdt_setprop_string(fdt, "/", "model", "ucbbar,spike-bare,qemu"); + qemu_fdt_setprop_string(fdt, "/", "compatible", "ucbbar,spike-bare-dev= "); + qemu_fdt_setprop_cell(fdt, "/", "#size-cells", 0x2); + qemu_fdt_setprop_cell(fdt, "/", "#address-cells", 0x2); + + qemu_fdt_add_subnode(fdt, "/htif"); + qemu_fdt_setprop_string(fdt, "/htif", "compatible", "ucb,htif0"); + + qemu_fdt_add_subnode(fdt, "/soc"); + qemu_fdt_setprop(fdt, "/soc", "ranges", NULL, 0); + qemu_fdt_setprop_string(fdt, "/soc", "compatible", "ucbbar,spike-bare-= soc"); + qemu_fdt_setprop_cell(fdt, "/soc", "#size-cells", 0x2); + qemu_fdt_setprop_cell(fdt, "/soc", "#address-cells", 0x2); + + nodename =3D g_strdup_printf("/memory@%lx", + (long)memmap[SPIKE_DRAM].base); + qemu_fdt_add_subnode(fdt, nodename); + qemu_fdt_setprop_cells(fdt, nodename, "reg", + memmap[SPIKE_DRAM].base >> 32, memmap[SPIKE_DRAM].base, + mem_size >> 32, mem_size); + qemu_fdt_setprop_string(fdt, nodename, "device_type", "memory"); + g_free(nodename); + + qemu_fdt_add_subnode(fdt, "/cpus"); + qemu_fdt_setprop_cell(fdt, "/cpus", "timebase-frequency", 10000000); + qemu_fdt_setprop_cell(fdt, "/cpus", "#size-cells", 0x0); + qemu_fdt_setprop_cell(fdt, "/cpus", "#address-cells", 0x1); + + for (cpu =3D s->soc.num_harts - 1; cpu >=3D 0; cpu--) { + nodename =3D g_strdup_printf("/cpus/cpu@%d", cpu); + char *intc =3D g_strdup_printf("/cpus/cpu@%d/interrupt-controller"= , cpu); + char *isa =3D riscv_isa_string(&s->soc.harts[cpu]); + qemu_fdt_add_subnode(fdt, nodename); + qemu_fdt_setprop_cell(fdt, nodename, "clock-frequency", 1000000000= ); + qemu_fdt_setprop_string(fdt, nodename, "mmu-type", "riscv,sv48"); + qemu_fdt_setprop_string(fdt, nodename, "riscv,isa", isa); + qemu_fdt_setprop_string(fdt, nodename, "compatible", "riscv"); + qemu_fdt_setprop_string(fdt, nodename, "status", "okay"); + qemu_fdt_setprop_cell(fdt, nodename, "reg", cpu); + qemu_fdt_setprop_string(fdt, nodename, "device_type", "cpu"); + qemu_fdt_add_subnode(fdt, intc); + qemu_fdt_setprop_cell(fdt, intc, "phandle", 1); + qemu_fdt_setprop_cell(fdt, intc, "linux,phandle", 1); + qemu_fdt_setprop_string(fdt, intc, "compatible", "riscv,cpu-intc"); + qemu_fdt_setprop(fdt, intc, "interrupt-controller", NULL, 0); + qemu_fdt_setprop_cell(fdt, intc, "#interrupt-cells", 1); + g_free(isa); + g_free(intc); + g_free(nodename); + } + + cells =3D g_new0(uint32_t, s->soc.num_harts * 4); + for (cpu =3D 0; cpu < s->soc.num_harts; cpu++) { + nodename =3D + g_strdup_printf("/cpus/cpu@%d/interrupt-controller", cpu); + uint32_t intc_phandle =3D qemu_fdt_get_phandle(fdt, nodename); + cells[cpu * 4 + 0] =3D cpu_to_be32(intc_phandle); + cells[cpu * 4 + 1] =3D cpu_to_be32(IRQ_M_SOFT); + cells[cpu * 4 + 2] =3D cpu_to_be32(intc_phandle); + cells[cpu * 4 + 3] =3D cpu_to_be32(IRQ_M_TIMER); + g_free(nodename); + } + nodename =3D g_strdup_printf("/soc/clint@%lx", + (long)memmap[SPIKE_CLINT].base); + qemu_fdt_add_subnode(fdt, nodename); + qemu_fdt_setprop_string(fdt, nodename, "compatible", "riscv,clint0"); + qemu_fdt_setprop_cells(fdt, nodename, "reg", + 0x0, memmap[SPIKE_CLINT].base, + 0x0, memmap[SPIKE_CLINT].size); + qemu_fdt_setprop(fdt, nodename, "interrupts-extended", + cells, s->soc.num_harts * sizeof(uint32_t) * 4); + g_free(cells); + g_free(nodename); + + qemu_fdt_add_subnode(fdt, "/chosen"); + qemu_fdt_setprop_string(fdt, "/chosen", "bootargs", cmdline); + } + +static void riscv_spike_board_init(MachineState *machine) +{ + const struct MemmapEntry *memmap =3D spike_memmap; + + SpikeState *s =3D g_new0(SpikeState, 1); + /* const char *cpu_model =3D machine->cpu_model; */ + /* const char *kernel_cmdline =3D machine->kernel_cmdline; */ + /* const char *initrd_filename =3D machine->initrd_filename; */ + MemoryRegion *system_memory =3D get_system_memory(); + MemoryRegion *main_mem =3D g_new(MemoryRegion, 1); + MemoryRegion *boot_rom =3D g_new(MemoryRegion, 1); + + /* Initialize SOC */ + object_initialize(&s->soc, sizeof(s->soc), TYPE_RISCV_HART_ARRAY); + object_property_add_child(OBJECT(machine), "soc", OBJECT(&s->soc), + &error_abort); + object_property_set_str(OBJECT(&s->soc), TYPE_RISCV_CPU_IMAFDCSU_PRIV_= 1_10, + "cpu-model", &error_abort); + object_property_set_int(OBJECT(&s->soc), smp_cpus, "num-harts", + &error_abort); + object_property_set_bool(OBJECT(&s->soc), true, "realized", + &error_abort); + + /* register system main memory (actual RAM) */ + memory_region_init_ram(main_mem, NULL, "riscv.spike.ram", + machine->ram_size, &error_fatal); + memory_region_add_subregion(system_memory, memmap[SPIKE_DRAM].base, + main_mem); + + /* create device tree */ + create_fdt(s, memmap, machine->ram_size, machine->kernel_cmdline); + + /* boot rom */ + memory_region_init_ram(boot_rom, NULL, "riscv.spike.bootrom", + s->fdt_size + 0x2000, &error_fatal); + memory_region_add_subregion(system_memory, 0x0, boot_rom); + + if (machine->kernel_filename) { + load_kernel(machine->kernel_filename); + } + + /* reset vector */ + uint32_t reset_vec[8] =3D { + 0x00000297, /* 1: auipc t0, %pcrel_hi(dtb) */ + 0x02028593, /* addi a1, t0, %pcrel_lo(1b) */ + 0xf1402573, /* csrr a0, mhartid */ +#if defined(TARGET_RISCV32) + 0x0182a283, /* lw t0, 24(t0) */ +#elif defined(TARGET_RISCV64) + 0x0182b283, /* ld t0, 24(t0) */ +#endif + 0x00028067, /* jr t0 */ + 0x00000000, + memmap[SPIKE_DRAM].base, /* start: .dword DRAM_BASE */ + 0x00000000, + /* dtb: */ + }; + + /* copy in the reset vector */ + cpu_physical_memory_write(memmap[SPIKE_MROM].base, + reset_vec, sizeof(reset_vec)); + + /* copy in the device tree */ + qemu_fdt_dumpdtb(s->fdt, s->fdt_size); + cpu_physical_memory_write(memmap[SPIKE_MROM].base + sizeof(reset_vec), + s->fdt, s->fdt_size); + + /* add memory mapped htif registers at location specified in the symbol + table of the elf being loaded (thus kernel_filename is passed to the + init rather than an address) */ + htif_mm_init(system_memory, machine->kernel_filename, + s->soc.harts[0].env.irq[4], boot_rom, + &s->soc.harts[0].env, serial_hds[0]); + + /* Core Local Interruptor (timer and IPI) */ + sifive_clint_create(0x2000000, 0x10000, smp_cpus, + SIFIVE_SIP_BASE, SIFIVE_TIMECMP_BASE, SIFIVE_TIME_BASE); +} + +static int riscv_spike_board_sysbus_device_init(SysBusDevice *sysbusdev) +{ + return 0; +} + +static void riscv_spike_board_class_init(ObjectClass *klass, void *data) +{ + SysBusDeviceClass *k =3D SYS_BUS_DEVICE_CLASS(klass); + k->init =3D riscv_spike_board_sysbus_device_init; +} + +static const TypeInfo riscv_spike_board_device =3D { + .name =3D TYPE_RISCV_SPIKE_V1_10_0_BOARD, + .parent =3D TYPE_SYS_BUS_DEVICE, + .instance_size =3D sizeof(SpikeState), + .class_init =3D riscv_spike_board_class_init, +}; + +static void riscv_spike_board_machine_init(MachineClass *mc) +{ + mc->desc =3D "RISC-V Spike Board (Privileged ISA v1.10)"; + mc->init =3D riscv_spike_board_init; + mc->max_cpus =3D 1; +} + +DEFINE_MACHINE("spike_v1.10", riscv_spike_board_machine_init) + +static void riscv_spike_board_register_types(void) +{ + type_register_static(&riscv_spike_board_device); +} + +type_init(riscv_spike_board_register_types); diff --git a/include/hw/riscv/spike.h b/include/hw/riscv/spike.h new file mode 100644 index 0000000..cb4d6ac --- /dev/null +++ b/include/hw/riscv/spike.h @@ -0,0 +1,51 @@ +/* + * SiFive U500 series machine interface + * + * Copyright (c) 2017 SiFive, Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#ifndef HW_SPIKE_H +#define HW_SPIKE_H + +#define TYPE_RISCV_SPIKE_V1_09_1_BOARD "riscv.spike_v1_9" +#define TYPE_RISCV_SPIKE_V1_10_0_BOARD "riscv.spike_v1_10" + +#define SPIKE(obj) \ + OBJECT_CHECK(SpikeState, (obj), TYPE_RISCV_SPIKE_BOARD) + +typedef struct { + /*< private >*/ + SysBusDevice parent_obj; + + /*< public >*/ + RISCVHartArrayState soc; + void *fdt; + int fdt_size; +} SpikeState; + + +enum { + SPIKE_MROM, + SPIKE_CLINT, + SPIKE_DRAM +}; + +#endif --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515637920050310.5242244066791; Wed, 10 Jan 2018 18:32:00 -0800 (PST) Received: from localhost ([::1]:59951 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSes-0001eG-V1 for importer@patchew.org; Wed, 10 Jan 2018 21:31:58 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48192) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWq-0003Mj-6Z for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:43 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWm-0008No-R4 for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:40 -0500 Received: from mail-pf0-x241.google.com ([2607:f8b0:400e:c00::241]:40829) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWm-0008Ms-GA for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:36 -0500 Received: by mail-pf0-x241.google.com with SMTP id i66so16640pfd.7 for ; Wed, 10 Jan 2018 18:23:36 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.34 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:34 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=6wkg0cEYXghUQsfN399jTARY6KeDNPW9D1y4HMvjAcw=; b=L0p7/05/95njxzFdd2yb2/VBgVeM09ARoCoZ2gLoY2AuKo5bYeiLdMcXNuIAePprWS dvbcRx3AG4oLzaNeOeFl8Gg/Igai8870Y79uBEK5b4izr8h2XPuuLlC6+VdN8HXUd7gA doNcNOZoLYdu4gc9/qdaCJqydUzCST04m+2AdnOtm5aPGgilZsbbMr66tLFwokjd4XXe e21t8wOkOXJZCzjciWnZsnwg9JIfWN6QahYFeOFzu6vBxdLIwwyLvjxf49/kAkubC1pH AteqA86bV+1aIRyrbEjZSRO5msnX0urtXuVRN3+pua6x+L7EylBBcZfF9298SFacbGv8 8Eig== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=6wkg0cEYXghUQsfN399jTARY6KeDNPW9D1y4HMvjAcw=; b=mTFgb6QzRYHoIroN2OhJLnQ83sLM+Je2I/Mv1PHfrvYwlAsJyyX1FFhtVmf1Ci0O3P Qb0WSBYGcYN9J5AvRfun8bjGxwsAeGiVxx/HBOQZ0Pzna58XIkXlSwHyeaQpCADFFlmD 4e3cPlOUUG0m6JAS37P9Nc62vH7bokBIJ/hcbKJ4SEw+jI2aCdDy7ujlgAV4q3xb/CrA b26jDYTSv7A/9reYNtBiE3qASEV3n5Eig7FeNVtVGcj2rG4n3+qr53wGsds+JsLYEHUu eYqjxlNYIW/LeU6fxTq5/IcHUMHHe5SsCfdC0geaHKqmLgGDWmLUUMT6JUKOYGfqef0e LKkg== X-Gm-Message-State: AKGB3mIJQ58KrrQup9XaB1MR/EnPRPdxg4hcfoHp43//IhWyGvmQyQIS BiMgG79ueUdBfdM5C+qmY+i1K9DVQtU= X-Google-Smtp-Source: ACJfBououTsLrQ9i7mzXps+GlFuN4svOm5SCKDXIyR0iC6lYR6gGbQRdYB0m8dxXk+ZXMPuQ8lc+eA== X-Received: by 10.99.145.66 with SMTP id l63mr11968369pge.273.1515637415268; Wed, 10 Jan 2018 18:23:35 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:21:59 -0800 Message-Id: <1515637324-96034-17-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c00::241 Subject: [Qemu-devel] [PATCH v3 16/21] RISC-V VirtIO Machine X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" RISC-V machine with device-tree, 16550a UART and VirtIO MMIO. The following machine is implemented: - 'virt'; CLINT, PLIC, 16550A UART, VirtIO MMIO, device-tree Signed-off-by: Michael Clark --- hw/riscv/virt.c | 364 ++++++++++++++++++++++++++++++++++++++++++++= ++++ include/hw/riscv/virt.h | 73 ++++++++++ 2 files changed, 437 insertions(+) create mode 100644 hw/riscv/virt.c create mode 100644 include/hw/riscv/virt.h diff --git a/hw/riscv/virt.c b/hw/riscv/virt.c new file mode 100644 index 0000000..7c6fead --- /dev/null +++ b/hw/riscv/virt.c @@ -0,0 +1,364 @@ +/* + * QEMU RISC-V VirtIO Board + * + * Copyright (c) 2017 SiFive, Inc. + * + * RISC-V machine with 16550a UART and VirtIO MMIO + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#include "qemu/osdep.h" +#include "qemu/log.h" +#include "qemu/error-report.h" +#include "hw/hw.h" +#include "hw/boards.h" +#include "hw/loader.h" +#include "hw/sysbus.h" +#include "hw/char/serial.h" +#include "target/riscv/cpu.h" +#include "hw/riscv/riscv_htif.h" +#include "hw/riscv/riscv_hart.h" +#include "hw/riscv/sifive_plic.h" +#include "hw/riscv/sifive_clint.h" +#include "hw/riscv/virt.h" +#include "chardev/char.h" +#include "sysemu/arch_init.h" +#include "sysemu/device_tree.h" +#include "exec/address-spaces.h" +#include "elf.h" + +static const struct MemmapEntry { + hwaddr base; + hwaddr size; +} virt_memmap[] =3D { + [VIRT_DEBUG] =3D { 0x0, 0x100 }, + [VIRT_MROM] =3D { 0x1000, 0x2000 }, + [VIRT_CLINT] =3D { 0x2000000, 0x10000 }, + [VIRT_PLIC] =3D { 0xc000000, 0x4000000 }, + [VIRT_UART0] =3D { 0x10000000, 0x100 }, + [VIRT_VIRTIO] =3D { 0x10001000, 0x1000 }, + [VIRT_DRAM] =3D { 0x80000000, 0x0 }, +}; + +static uint64_t identity_translate(void *opaque, uint64_t addr) +{ + return addr; +} + +static uint64_t load_kernel(const char *kernel_filename) +{ + uint64_t kernel_entry, kernel_high; + + if (load_elf(kernel_filename, identity_translate, NULL, + &kernel_entry, NULL, &kernel_high, + /* little_endian =3D */ 0, ELF_MACHINE, 1, 0) < 0) { + error_report("qemu: could not load kernel '%s'", kernel_filename); + exit(1); + } + return kernel_entry; +} + +static void create_fdt(RISCVVirtState *s, const struct MemmapEntry *memmap, + uint64_t mem_size, const char *cmdline) +{ + void *fdt; + int cpu; + uint32_t *cells; + char *nodename; + uint32_t plic_phandle, phandle =3D 1; + int i; + + fdt =3D s->fdt =3D create_device_tree(&s->fdt_size); + if (!fdt) { + error_report("create_device_tree() failed"); + exit(1); + } + + qemu_fdt_setprop_string(fdt, "/", "model", "riscv-virtio,qemu"); + qemu_fdt_setprop_string(fdt, "/", "compatible", "riscv-virtio"); + qemu_fdt_setprop_cell(fdt, "/", "#size-cells", 0x2); + qemu_fdt_setprop_cell(fdt, "/", "#address-cells", 0x2); + + qemu_fdt_add_subnode(fdt, "/soc"); + qemu_fdt_setprop(fdt, "/soc", "ranges", NULL, 0); + qemu_fdt_setprop_string(fdt, "/soc", "compatible", "riscv-virtio-soc"); + qemu_fdt_setprop_cell(fdt, "/soc", "#size-cells", 0x2); + qemu_fdt_setprop_cell(fdt, "/soc", "#address-cells", 0x2); + + nodename =3D g_strdup_printf("/memory@%lx", + (long)memmap[VIRT_DRAM].base); + qemu_fdt_add_subnode(fdt, nodename); + qemu_fdt_setprop_cells(fdt, nodename, "reg", + memmap[VIRT_DRAM].base >> 32, memmap[VIRT_DRAM].base, + mem_size >> 32, mem_size); + qemu_fdt_setprop_string(fdt, nodename, "device_type", "memory"); + g_free(nodename); + + qemu_fdt_add_subnode(fdt, "/cpus"); + qemu_fdt_setprop_cell(fdt, "/cpus", "timebase-frequency", 10000000); + qemu_fdt_setprop_cell(fdt, "/cpus", "#size-cells", 0x0); + qemu_fdt_setprop_cell(fdt, "/cpus", "#address-cells", 0x1); + + for (cpu =3D s->soc.num_harts - 1; cpu >=3D 0; cpu--) { + int cpu_phandle =3D phandle++; + nodename =3D g_strdup_printf("/cpus/cpu@%d", cpu); + char *intc =3D g_strdup_printf("/cpus/cpu@%d/interrupt-controller"= , cpu); + char *isa =3D riscv_isa_string(&s->soc.harts[cpu]); + qemu_fdt_add_subnode(fdt, nodename); + qemu_fdt_setprop_cell(fdt, nodename, "clock-frequency", 1000000000= ); + qemu_fdt_setprop_string(fdt, nodename, "mmu-type", "riscv,sv48"); + qemu_fdt_setprop_string(fdt, nodename, "riscv,isa", isa); + qemu_fdt_setprop_string(fdt, nodename, "compatible", "riscv"); + qemu_fdt_setprop_string(fdt, nodename, "status", "okay"); + qemu_fdt_setprop_cell(fdt, nodename, "reg", cpu); + qemu_fdt_setprop_string(fdt, nodename, "device_type", "cpu"); + qemu_fdt_add_subnode(fdt, intc); + qemu_fdt_setprop_cell(fdt, intc, "phandle", cpu_phandle); + qemu_fdt_setprop_cell(fdt, intc, "linux,phandle", cpu_phandle); + qemu_fdt_setprop_string(fdt, intc, "compatible", "riscv,cpu-intc"); + qemu_fdt_setprop(fdt, intc, "interrupt-controller", NULL, 0); + qemu_fdt_setprop_cell(fdt, intc, "#interrupt-cells", 1); + g_free(isa); + g_free(intc); + g_free(nodename); + } + + cells =3D g_new0(uint32_t, s->soc.num_harts * 4); + for (cpu =3D 0; cpu < s->soc.num_harts; cpu++) { + nodename =3D + g_strdup_printf("/cpus/cpu@%d/interrupt-controller", cpu); + uint32_t intc_phandle =3D qemu_fdt_get_phandle(fdt, nodename); + cells[cpu * 4 + 0] =3D cpu_to_be32(intc_phandle); + cells[cpu * 4 + 1] =3D cpu_to_be32(IRQ_M_SOFT); + cells[cpu * 4 + 2] =3D cpu_to_be32(intc_phandle); + cells[cpu * 4 + 3] =3D cpu_to_be32(IRQ_M_TIMER); + g_free(nodename); + } + nodename =3D g_strdup_printf("/soc/clint@%lx", + (long)memmap[VIRT_CLINT].base); + qemu_fdt_add_subnode(fdt, nodename); + qemu_fdt_setprop_string(fdt, nodename, "compatible", "riscv,clint0"); + qemu_fdt_setprop_cells(fdt, nodename, "reg", + 0x0, memmap[VIRT_CLINT].base, + 0x0, memmap[VIRT_CLINT].size); + qemu_fdt_setprop(fdt, nodename, "interrupts-extended", + cells, s->soc.num_harts * sizeof(uint32_t) * 4); + g_free(cells); + g_free(nodename); + + plic_phandle =3D phandle++; + cells =3D g_new0(uint32_t, s->soc.num_harts * 4); + for (cpu =3D 0; cpu < s->soc.num_harts; cpu++) { + nodename =3D + g_strdup_printf("/cpus/cpu@%d/interrupt-controller", cpu); + uint32_t intc_phandle =3D qemu_fdt_get_phandle(fdt, nodename); + cells[cpu * 4 + 0] =3D cpu_to_be32(intc_phandle); + cells[cpu * 4 + 1] =3D cpu_to_be32(IRQ_M_EXT); + cells[cpu * 4 + 2] =3D cpu_to_be32(intc_phandle); + cells[cpu * 4 + 3] =3D cpu_to_be32(IRQ_S_EXT); + g_free(nodename); + } + nodename =3D g_strdup_printf("/soc/interrupt-controller@%lx", + (long)memmap[VIRT_PLIC].base); + qemu_fdt_add_subnode(fdt, nodename); + qemu_fdt_setprop_cell(fdt, nodename, "#interrupt-cells", 1); + qemu_fdt_setprop_string(fdt, nodename, "compatible", "riscv,plic0"); + qemu_fdt_setprop(fdt, nodename, "interrupt-controller", NULL, 0); + qemu_fdt_setprop(fdt, nodename, "interrupts-extended", + cells, s->soc.num_harts * sizeof(uint32_t) * 4); + qemu_fdt_setprop_cells(fdt, nodename, "reg", + 0x0, memmap[VIRT_PLIC].base, + 0x0, memmap[VIRT_PLIC].size); + qemu_fdt_setprop_string(fdt, nodename, "reg-names", "control"); + qemu_fdt_setprop_cell(fdt, nodename, "riscv,max-priority", 7); + qemu_fdt_setprop_cell(fdt, nodename, "riscv,ndev", VIRTIO_NDEV); + qemu_fdt_setprop_cells(fdt, nodename, "phandle", plic_phandle); + qemu_fdt_setprop_cells(fdt, nodename, "linux,phandle", plic_phandle); + plic_phandle =3D qemu_fdt_get_phandle(fdt, nodename); + g_free(cells); + g_free(nodename); + + for (i =3D 0; i < VIRTIO_COUNT; i++) { + nodename =3D g_strdup_printf("/virtio_mmio@%lx", + (long)(memmap[VIRT_VIRTIO].base + i * memmap[VIRT_VIRTIO].size= )); + qemu_fdt_add_subnode(fdt, nodename); + qemu_fdt_setprop_string(fdt, nodename, "compatible", "virtio,mmio"= ); + qemu_fdt_setprop_cells(fdt, nodename, "reg", + 0x0, memmap[VIRT_VIRTIO].base + i * memmap[VIRT_VIRTIO].size, + 0x0, memmap[VIRT_VIRTIO].size); + qemu_fdt_setprop_cells(fdt, nodename, "interrupt-parent", plic_pha= ndle); + qemu_fdt_setprop_cells(fdt, nodename, "interrupts", VIRTIO_IRQ + i= ); + g_free(nodename); + } + + nodename =3D g_strdup_printf("/uart@%lx", + (long)memmap[VIRT_UART0].base); + qemu_fdt_add_subnode(fdt, nodename); + qemu_fdt_setprop_string(fdt, nodename, "compatible", "ns16550a"); + qemu_fdt_setprop_cells(fdt, nodename, "reg", + 0x0, memmap[VIRT_UART0].base, + 0x0, memmap[VIRT_UART0].size); + qemu_fdt_setprop_cell(fdt, nodename, "clock-frequency", 3686400); + qemu_fdt_setprop_cells(fdt, nodename, "interrupt-parent", plic_pha= ndle); + qemu_fdt_setprop_cells(fdt, nodename, "interrupts", UART0_IRQ); + + qemu_fdt_add_subnode(fdt, "/chosen"); + qemu_fdt_setprop_string(fdt, "/chosen", "stdout-path", nodename); + qemu_fdt_setprop_string(fdt, "/chosen", "bootargs", cmdline); + g_free(nodename); +} + +static void riscv_virt_board_init(MachineState *machine) +{ + const struct MemmapEntry *memmap =3D virt_memmap; + + RISCVVirtState *s =3D g_new0(RISCVVirtState, 1); + MemoryRegion *system_memory =3D get_system_memory(); + MemoryRegion *main_mem =3D g_new(MemoryRegion, 1); + MemoryRegion *boot_rom =3D g_new(MemoryRegion, 1); + char *plic_hart_config; + size_t plic_hart_config_len; + int i; + + /* Initialize SOC */ + object_initialize(&s->soc, sizeof(s->soc), TYPE_RISCV_HART_ARRAY); + object_property_add_child(OBJECT(machine), "soc", OBJECT(&s->soc), + &error_abort); + object_property_set_str(OBJECT(&s->soc), TYPE_RISCV_CPU_IMAFDCSU_PRIV_= 1_10, + "cpu-model", &error_abort); + object_property_set_int(OBJECT(&s->soc), smp_cpus, "num-harts", + &error_abort); + object_property_set_bool(OBJECT(&s->soc), true, "realized", + &error_abort); + + /* register system main memory (actual RAM) */ + memory_region_init_ram(main_mem, NULL, "riscv_virt_board.ram", + machine->ram_size, &error_fatal); + memory_region_add_subregion(system_memory, memmap[VIRT_DRAM].base, + main_mem); + + /* create device tree */ + create_fdt(s, memmap, machine->ram_size, machine->kernel_cmdline); + + /* boot rom */ + memory_region_init_ram(boot_rom, NULL, "riscv_virt_board.bootrom", + s->fdt_size + 0x2000, &error_fatal); + memory_region_add_subregion(system_memory, 0x0, boot_rom); + + if (machine->kernel_filename) { + load_kernel(machine->kernel_filename); + } + + /* reset vector */ + uint32_t reset_vec[8] =3D { + 0x00000297, /* 1: auipc t0, %pcrel_hi(dtb) */ + 0x02028593, /* addi a1, t0, %pcrel_lo(1b) */ + 0xf1402573, /* csrr a0, mhartid */ +#if defined(TARGET_RISCV32) + 0x0182a283, /* lw t0, 24(t0) */ +#elif defined(TARGET_RISCV64) + 0x0182b283, /* ld t0, 24(t0) */ +#endif + 0x00028067, /* jr t0 */ + 0x00000000, + memmap[VIRT_DRAM].base, /* start: .dword memmap[VIRT_DRAM].ba= se */ + 0x00000000, + /* dtb: */ + }; + + /* copy in the reset vector */ + cpu_physical_memory_write(ROM_BASE, reset_vec, sizeof(reset_vec)); + + /* copy in the device tree */ + qemu_fdt_dumpdtb(s->fdt, s->fdt_size); + cpu_physical_memory_write(ROM_BASE + sizeof(reset_vec), + s->fdt, s->fdt_size); + + /* create PLIC hart topology configuration string */ + plic_hart_config_len =3D (strlen(VIRT_PLIC_HART_CONFIG) + 1) * smp_cpu= s; + plic_hart_config =3D g_malloc0(plic_hart_config_len); + for (i =3D 0; i < smp_cpus; i++) { + if (i !=3D 0) { + strncat(plic_hart_config, ",", plic_hart_config_len); + } + strncat(plic_hart_config, VIRT_PLIC_HART_CONFIG, plic_hart_config_= len); + plic_hart_config_len -=3D (strlen(VIRT_PLIC_HART_CONFIG) + 1); + } + + /* MMIO */ + s->plic =3D sifive_plic_create(memmap[VIRT_PLIC].base, + plic_hart_config, + VIRT_PLIC_NUM_SOURCES, + VIRT_PLIC_NUM_PRIORITIES, + VIRT_PLIC_PRIORITY_BASE, + VIRT_PLIC_PENDING_BASE, + VIRT_PLIC_ENABLE_BASE, + VIRT_PLIC_ENABLE_STRIDE, + VIRT_PLIC_CONTEXT_BASE, + VIRT_PLIC_CONTEXT_STRIDE, + memmap[VIRT_PLIC].size); + sifive_clint_create(memmap[VIRT_CLINT].base, + memmap[VIRT_CLINT].size, smp_cpus, + SIFIVE_SIP_BASE, SIFIVE_TIMECMP_BASE, SIFIVE_TIME_BASE); + + for (i =3D 0; i < VIRTIO_COUNT; i++) { + sysbus_create_simple("virtio-mmio", + memmap[VIRT_VIRTIO].base + i * memmap[VIRT_VIRTIO].size, + SIFIVE_PLIC(s->plic)->irqs[VIRTIO_IRQ + i]); + } + + serial_mm_init(system_memory, memmap[VIRT_UART0].base, + 0, SIFIVE_PLIC(s->plic)->irqs[UART0_IRQ], 399193, + serial_hds[0], DEVICE_LITTLE_ENDIAN); +} + +static int riscv_virt_board_sysbus_device_init(SysBusDevice *sysbusdev) +{ + return 0; +} + +static void riscv_virt_board_class_init(ObjectClass *klass, void *data) +{ + SysBusDeviceClass *k =3D SYS_BUS_DEVICE_CLASS(klass); + k->init =3D riscv_virt_board_sysbus_device_init; +} + +static const TypeInfo riscv_virt_board_device =3D { + .name =3D TYPE_RISCV_VIRT_BOARD, + .parent =3D TYPE_SYS_BUS_DEVICE, + .instance_size =3D sizeof(RISCVVirtState), + .class_init =3D riscv_virt_board_class_init, +}; + +static void riscv_virt_board_machine_init(MachineClass *mc) +{ + mc->desc =3D "RISC-V VirtIO Board (Privileged spec v1.10)"; + mc->init =3D riscv_virt_board_init; + mc->max_cpus =3D 8; /* hardcoded limit in BBL */ +} + +DEFINE_MACHINE("virt", riscv_virt_board_machine_init) + +static void riscv_virt_board_register_types(void) +{ + type_register_static(&riscv_virt_board_device); +} + +type_init(riscv_virt_board_register_types); diff --git a/include/hw/riscv/virt.h b/include/hw/riscv/virt.h new file mode 100644 index 0000000..9e5467a --- /dev/null +++ b/include/hw/riscv/virt.h @@ -0,0 +1,73 @@ +/* + * SiFive VirtIO Board + * + * Copyright (c) 2017 SiFive, Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#ifndef HW_VIRT_H +#define HW_VIRT_H + +#define TYPE_RISCV_VIRT_BOARD "riscv.virt" +#define VIRT(obj) \ + OBJECT_CHECK(RISCVVirtState, (obj), TYPE_RISCV_VIRT_BOARD) + +enum { ROM_BASE =3D 0x1000 }; + +typedef struct { + /*< private >*/ + SysBusDevice parent_obj; + + /*< public >*/ + RISCVHartArrayState soc; + DeviceState *plic; + void *fdt; + int fdt_size; +} RISCVVirtState; + +enum { + VIRT_DEBUG, + VIRT_MROM, + VIRT_CLINT, + VIRT_PLIC, + VIRT_UART0, + VIRT_VIRTIO, + VIRT_DRAM +}; + + +enum { + UART0_IRQ =3D 10, + VIRTIO_IRQ =3D 1, /* 1 to 8 */ + VIRTIO_COUNT =3D 8, + VIRTIO_NDEV =3D 10 +}; + +#define VIRT_PLIC_HART_CONFIG "MS" +#define VIRT_PLIC_NUM_SOURCES 127 +#define VIRT_PLIC_NUM_PRIORITIES 7 +#define VIRT_PLIC_PRIORITY_BASE 0x0 +#define VIRT_PLIC_PENDING_BASE 0x1000 +#define VIRT_PLIC_ENABLE_BASE 0x2000 +#define VIRT_PLIC_ENABLE_STRIDE 0x80 +#define VIRT_PLIC_CONTEXT_BASE 0x200000 +#define VIRT_PLIC_CONTEXT_STRIDE 0x1000 + +#endif --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 15156377334621022.7325700430613; Wed, 10 Jan 2018 18:28:53 -0800 (PST) Received: from localhost ([::1]:59930 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSbs-0007b3-G8 for importer@patchew.org; Wed, 10 Jan 2018 21:28:52 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48191) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWq-0003Mi-6O for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:42 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWn-0008P6-M3 for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:40 -0500 Received: from mail-pg0-x241.google.com ([2607:f8b0:400e:c05::241]:44442) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWn-0008O0-Be for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:37 -0500 Received: by mail-pg0-x241.google.com with SMTP id m20so1642979pgc.11 for ; Wed, 10 Jan 2018 18:23:37 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.35 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:35 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=VRHjSv6yQ544qnPsfLQ7LMEdK6nuZMg6j1k8FEh/Vu8=; b=AtI98L1xqp5mVWzPvOJ+pL9M1JD4UU7ztUS9bj+DIbTqyWRdtstWIbimOw4uXn8/H1 LkSRk4tUpLM7ydZty4lIuI/xjMbRO1I69v9slultlIjcP2Hp4ftCO2XpCvFhCP+xFGbd ldxEZ7YhkQeaj1zGOopgOtrLNHOpvxMARUQTuBqJFUfulVaZXR2QNODQr+MrKeTPUPfW y5zccoORSj1o8oBNKFRmgTBNv073BpbULo+qpPZmm6g5tlnwIlJ30jssMiLQh31Yk7GG WibTOh7wK/ZZrKPLMCc2Bu236I4iVlgNg2HizxDx/wrWYeSBZD5SCYRQThK4FB8AKwgA svPA== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=VRHjSv6yQ544qnPsfLQ7LMEdK6nuZMg6j1k8FEh/Vu8=; b=c+NOH0PU53oucBSvjNz4mvc1rjOSksC6q/SCpV5o/AErkvKUNvwwugvbPOV601A3JF Ume6iGszCpAvlr6qPJwgXzrX0lCES5ZTJVUkGFTO5bmbyOTVT9y+W7pSEkPbBdTgcCxG zceciJ9PUybXT3BsX0mZybVkfPIWsQE+NpMkdp7hLtmpJJeqqIe+PieDqQ2XUo7E4mpb yWsOD9FhMbbd3wAIf6/JiM/OI/fGY6aggrTOCOO25Ecf0lEy19v0a0QAKtgIKmEfGOEB TGEPo0JyjIosXh040hUzvkqZRInie/9OdPMBzQ3B/M6T2d6x8+vAzF0Fb4GzWbbv0zwy Ycmw== X-Gm-Message-State: AKGB3mLHz45U+hWwBmHc7FJVGO8v/GjuHKf4Yu39moxsoxc4Zr08TMb3 x49AGMHg3Q3WQy8gvcuaFmYgY1HlboE= X-Google-Smtp-Source: ACJfBosw4TmVjz77ycWklWDHYvnJdyEEV7PJJfLZ7VHlA71OXV1AFZ0AhX0t1EsfJU8c8IJnRPYGQA== X-Received: by 10.99.110.78 with SMTP id j75mr15756041pgc.96.1515637416264; Wed, 10 Jan 2018 18:23:36 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:22:00 -0800 Message-Id: <1515637324-96034-18-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c05::241 Subject: [Qemu-devel] [PATCH v3 17/21] SiFive RISC-V UART Device X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" QEMU model of the UART on the SiFive E300 and U500 series SOCs. BBL supports the SiFive UART for early console access via the SBI (Supervisor Binary Interface) and the linux kernel SBI console. The SiFive UART implements the pre qom legacy interface consistent with the 16550a UART in 'hw/char/serial.c'. Signed-off-by: Michael Clark --- hw/riscv/sifive_uart.c | 182 +++++++++++++++++++++++++++++++++++++= ++++ include/hw/riscv/sifive_uart.h | 76 +++++++++++++++++ 2 files changed, 258 insertions(+) create mode 100644 hw/riscv/sifive_uart.c create mode 100644 include/hw/riscv/sifive_uart.h diff --git a/hw/riscv/sifive_uart.c b/hw/riscv/sifive_uart.c new file mode 100644 index 0000000..0e73df6 --- /dev/null +++ b/hw/riscv/sifive_uart.c @@ -0,0 +1,182 @@ +/* + * QEMU model of the UART on the SiFive E300 and U500 series SOCs. + * + * Copyright (c) 2016 Stefan O'Rear + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#include "qemu/osdep.h" +#include "qapi/error.h" +#include "hw/sysbus.h" +#include "chardev/char.h" +#include "chardev/char-fe.h" +#include "target/riscv/cpu.h" +#include "hw/riscv/sifive_uart.h" + +/* + * Not yet implemented: + * + * Transmit FIFO using "qemu/fifo8.h" + * SIFIVE_UART_IE_TXWM interrupts + * SIFIVE_UART_IE_RXWM interrupts must honor fifo watermark + * Rx FIFO watermark interrupt trigger threshold + * Tx FIFO watermark interrupt trigger threshold. + */ + +static void update_irq(SiFiveUARTState *s) +{ + int cond =3D 0; + if ((s->ie & SIFIVE_UART_IE_RXWM) && s->rx_fifo_len) { + cond =3D 1; + } + if (cond) { + qemu_irq_raise(s->irq); + } else { + qemu_irq_lower(s->irq); + } +} + +static uint64_t +uart_read(void *opaque, hwaddr addr, unsigned int size) +{ + SiFiveUARTState *s =3D opaque; + unsigned char r; + switch (addr) { + case SIFIVE_UART_RXFIFO: + if (s->rx_fifo_len) { + r =3D s->rx_fifo[0]; + memmove(s->rx_fifo, s->rx_fifo + 1, s->rx_fifo_len - 1); + s->rx_fifo_len--; + qemu_chr_fe_accept_input(&s->chr); + update_irq(s); + return r; + } + return 0x80000000; + + case SIFIVE_UART_TXFIFO: + return 0; /* Should check tx fifo */ + case SIFIVE_UART_IE: + return s->ie; + case SIFIVE_UART_IP: + return s->rx_fifo_len ? SIFIVE_UART_IP_RXWM : 0; + case SIFIVE_UART_TXCTRL: + return s->txctrl; + case SIFIVE_UART_RXCTRL: + return s->rxctrl; + case SIFIVE_UART_DIV: + return s->div; + } + + hw_error("%s: bad read: addr=3D0x%x\n", + __func__, (int)addr); + return 0; +} + +static void +uart_write(void *opaque, hwaddr addr, + uint64_t val64, unsigned int size) +{ + SiFiveUARTState *s =3D opaque; + uint32_t value =3D val64; + unsigned char ch =3D value; + + switch (addr) { + case SIFIVE_UART_TXFIFO: + qemu_chr_fe_write(&s->chr, &ch, 1); + return; + case SIFIVE_UART_IE: + s->ie =3D val64; + update_irq(s); + return; + case SIFIVE_UART_TXCTRL: + s->txctrl =3D val64; + return; + case SIFIVE_UART_RXCTRL: + s->rxctrl =3D val64; + return; + case SIFIVE_UART_DIV: + s->div =3D val64; + return; + } + hw_error("%s: bad write: addr=3D0x%x v=3D0x%x\n", + __func__, (int)addr, (int)value); +} + +static const MemoryRegionOps uart_ops =3D { + .read =3D uart_read, + .write =3D uart_write, + .endianness =3D DEVICE_NATIVE_ENDIAN, + .valid =3D { + .min_access_size =3D 4, + .max_access_size =3D 4 + } +}; + +static void uart_rx(void *opaque, const uint8_t *buf, int size) +{ + SiFiveUARTState *s =3D opaque; + + /* Got a byte. */ + if (s->rx_fifo_len >=3D sizeof(s->rx_fifo)) { + printf("WARNING: UART dropped char.\n"); + return; + } + s->rx_fifo[s->rx_fifo_len++] =3D *buf; + + update_irq(s); +} + +static int uart_can_rx(void *opaque) +{ + SiFiveUARTState *s =3D opaque; + + return s->rx_fifo_len < sizeof(s->rx_fifo); +} + +static void uart_event(void *opaque, int event) +{ +} + +static int uart_be_change(void *opaque) +{ + SiFiveUARTState *s =3D opaque; + + qemu_chr_fe_set_handlers(&s->chr, uart_can_rx, uart_rx, uart_event, + uart_be_change, s, NULL, true); + + return 0; +} + +/* + * Create UART device. + */ +SiFiveUARTState *sifive_uart_create(MemoryRegion *address_space, hwaddr ba= se, + Chardev *chr, qemu_irq irq) +{ + SiFiveUARTState *s =3D g_malloc0(sizeof(SiFiveUARTState)); + s->irq =3D irq; + qemu_chr_fe_init(&s->chr, chr, &error_abort); + qemu_chr_fe_set_handlers(&s->chr, uart_can_rx, uart_rx, uart_event, + uart_be_change, s, NULL, true); + memory_region_init_io(&s->mmio, NULL, &uart_ops, s, + TYPE_SIFIVE_UART, SIFIVE_UART_MAX); + memory_region_add_subregion(address_space, base, &s->mmio); + return s; +} diff --git a/include/hw/riscv/sifive_uart.h b/include/hw/riscv/sifive_uart.h new file mode 100644 index 0000000..1ab0106 --- /dev/null +++ b/include/hw/riscv/sifive_uart.h @@ -0,0 +1,76 @@ +/* + * SiFive UART interface + * + * Copyright (c) 2017 SiFive, Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#ifndef HW_SIFIVE_UART_H +#define HW_SIFIVE_UART_H + +enum { + SIFIVE_UART_TXFIFO =3D 0, + SIFIVE_UART_RXFIFO =3D 4, + SIFIVE_UART_TXCTRL =3D 8, + SIFIVE_UART_TXMARK =3D 10, + SIFIVE_UART_RXCTRL =3D 12, + SIFIVE_UART_RXMARK =3D 14, + SIFIVE_UART_IE =3D 16, + SIFIVE_UART_IP =3D 20, + SIFIVE_UART_DIV =3D 24, + SIFIVE_UART_MAX =3D 32 +}; + +enum { + SIFIVE_UART_IE_TXWM =3D 1, /* Transmit watermark interrupt enabl= e */ + SIFIVE_UART_IE_RXWM =3D 2 /* Receive watermark interrupt enable= */ +}; + +enum { + SIFIVE_UART_IP_TXWM =3D 1, /* Transmit watermark interrupt pendi= ng */ + SIFIVE_UART_IP_RXWM =3D 2 /* Receive watermark interrupt pendin= g */ +}; + +#define TYPE_SIFIVE_UART "riscv.sifive.uart" + +#define SIFIVE_UART(obj) \ + OBJECT_CHECK(SiFiveUARTState, (obj), TYPE_SIFIVE_UART) + +typedef struct SiFiveUARTState { + /*< private >*/ + SysBusDevice parent_obj; + + /*< public >*/ + qemu_irq irq; + MemoryRegion mmio; + CharBackend chr; + uint8_t rx_fifo[8]; + unsigned int rx_fifo_len; + uint32_t ie; + uint32_t ip; + uint32_t txctrl; + uint32_t rxctrl; + uint32_t div; +} SiFiveUARTState; + +SiFiveUARTState *sifive_uart_create(MemoryRegion *address_space, hwaddr ba= se, + Chardev *chr, qemu_irq irq); + +#endif --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515637737990213.09672810254665; Wed, 10 Jan 2018 18:28:57 -0800 (PST) Received: from localhost ([::1]:59931 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSbu-0007bz-3O for importer@patchew.org; Wed, 10 Jan 2018 21:28:54 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48204) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWq-0003NT-Uz for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:43 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWp-0008QJ-7P for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:40 -0500 Received: from mail-pf0-x244.google.com ([2607:f8b0:400e:c00::244]:43122) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWo-0008PJ-9Y for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:38 -0500 Received: by mail-pf0-x244.google.com with SMTP id e3so16771pfi.10 for ; Wed, 10 Jan 2018 18:23:38 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.36 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:36 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=lBBzFAIA2xh4zyrMWM0R1i0A+u2qLLwVZpPU2flCzqA=; b=dkEMhQtRxgp7rzVEYVi81gO4hKplKNncsSRbUlGM9g7I0QEquoQ2iMt6KXx021NVKA 0fFSRfU6yRjbTLzLuBkGKaOcB+gNGgHT4VLQIz5TTalC0T3JYDwP9xmZRx6mPeS6aEcz 2IkG8RZtYuyaLHbXRABtfFB/wz+Iprhw6jkcwG2Hm50Admfct8CcSSaVxc9f21ssPMu3 e1qblWkMDFb3UjsAm4l8Ew+yTr4hrK0TNIQKed8tDreQ1uqXV8CHH/zT6+mpM4EBX6k8 6Xa029irN4ieurFoPeKAmOx0vVsKf3S9wR7JAn2HuW8OJuIHR+XT422ogCnW+M9MmBnn 9Gig== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=lBBzFAIA2xh4zyrMWM0R1i0A+u2qLLwVZpPU2flCzqA=; b=FDpUOqmVfOsHh7MW99DmGAwr+it9T0FpXoyA8iOw3swIb+otW8/W/88Ym0nJGBCxrV FR8HjRrAPUiPtYa4UAhnwszOiw6DhFevkxEn3CnML0F6w6s/yNIB1aSkhCWyfCTI1jwF gaEZun/8yg6hCOae38QcEmqKJF/Hog6BZ1uwgpCwVHoGCNyexTMgZ3bDGLyV83q/tgIQ 9DbOkiQ3wsvdpefntHQuwEYbvbwg1WSrB1Z3BWs87qv4aTRlS+tdWGd6NDgz4BxZ63iO iuBnjQDTOjRLkpGBckSjd4F3vu66NAw0zRKNWZLIBvQTMfi4VqgMY/byTljoGi3MEVcM 1iDg== X-Gm-Message-State: AKGB3mKp/WCSsVdFssMubEQHCqBraMyvL7/kcL0ZBHCCovmMal20Kbn8 eMIDTt2NuRXU2b15ERpn7W3fsNKxmGw= X-Google-Smtp-Source: ACJfBovcFXJ2yaKJM2q2R076DMZIMx6OcgrVbeMOSuyAyvddsfnPff1QLUp1ZMkDHU7cyzQyGha65Q== X-Received: by 10.99.140.73 with SMTP id q9mr16305767pgn.224.1515637417214; Wed, 10 Jan 2018 18:23:37 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:22:01 -0800 Message-Id: <1515637324-96034-19-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c00::244 Subject: [Qemu-devel] [PATCH v3 18/21] SiFive RISC-V PRCI Block X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" Simple model of the PRCI (Power, Reset, Clock, Interrupt) to emulate register reads made by the SDK BSP. Signed-off-by: Michael Clark --- hw/riscv/sifive_prci.c | 107 +++++++++++++++++++++++++++++++++++++= ++++ include/hw/riscv/sifive_prci.h | 43 +++++++++++++++++ 2 files changed, 150 insertions(+) create mode 100644 hw/riscv/sifive_prci.c create mode 100644 include/hw/riscv/sifive_prci.h diff --git a/hw/riscv/sifive_prci.c b/hw/riscv/sifive_prci.c new file mode 100644 index 0000000..5c27696 --- /dev/null +++ b/hw/riscv/sifive_prci.c @@ -0,0 +1,107 @@ +/* + * QEMU SiFive PRCI (Power, Reset, Clock, Interrupt) + * + * Copyright (c) 2017 SiFive, Inc. + * + * Simple model of the PRCI to emulate register reads made by the SDK BSP + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#include "qemu/osdep.h" +#include "hw/sysbus.h" +#include "target/riscv/cpu.h" +#include "hw/riscv/sifive_prci.h" + +/* currently implements enough to mock freedom-e-sdk BSP clock programming= */ + +static uint64_t sifive_prci_read(void *opaque, hwaddr addr, unsigned int s= ize) +{ + if (addr =3D=3D 0 /* PRCI_HFROSCCFG */) { + return 1 << 31; /* ROSC_RDY */ + } + if (addr =3D=3D 8 /* PRCI_PLLCFG */) { + return 1 << 31; /* PLL_LOCK */ + } + hw_error("%s: read: addr=3D0x%x\n", __func__, (int)addr); + return 0; +} + +static void sifive_prci_write(void *opaque, hwaddr addr, + uint64_t val64, unsigned int size) +{ + /* discard writes */ +} + +static const MemoryRegionOps sifive_prci_ops =3D { + .read =3D sifive_prci_read, + .write =3D sifive_prci_write, + .endianness =3D DEVICE_NATIVE_ENDIAN, + .valid =3D { + .min_access_size =3D 4, + .max_access_size =3D 4 + } +}; + +static Property sifive_prci_properties[] =3D { + DEFINE_PROP_END_OF_LIST(), +}; + +static void sifive_prci_init(Object *obj) +{ + SiFivePRCIState *s =3D SIFIVE_PRCI(obj); + + memory_region_init_io(&s->mmio, obj, &sifive_prci_ops, s, + TYPE_SIFIVE_PRCI, 0x8000); + sysbus_init_mmio(SYS_BUS_DEVICE(obj), &s->mmio); +} + +static void sifive_prci_class_init(ObjectClass *klass, void *data) +{ + DeviceClass *dc =3D DEVICE_CLASS(klass); + + dc->props =3D sifive_prci_properties; +} + +static const TypeInfo sifive_prci_info =3D { + .name =3D TYPE_SIFIVE_PRCI, + .parent =3D TYPE_SYS_BUS_DEVICE, + .instance_size =3D sizeof(SiFivePRCIState), + .instance_init =3D sifive_prci_init, + .class_init =3D sifive_prci_class_init, +}; + +static void sifive_prci_register_types(void) +{ + type_register_static(&sifive_prci_info); +} + +type_init(sifive_prci_register_types) + + +/* + * Create PRCI device. + */ +DeviceState *sifive_prci_create(hwaddr addr) +{ + DeviceState *dev =3D qdev_create(NULL, TYPE_SIFIVE_PRCI); + qdev_init_nofail(dev); + sysbus_mmio_map(SYS_BUS_DEVICE(dev), 0, addr); + return dev; +} diff --git a/include/hw/riscv/sifive_prci.h b/include/hw/riscv/sifive_prci.h new file mode 100644 index 0000000..0e032e5 --- /dev/null +++ b/include/hw/riscv/sifive_prci.h @@ -0,0 +1,43 @@ +/* + * QEMU SiFive PRCI (Power, Reset, Clock, Interrupt) interface + * + * Copyright (c) 2017 SiFive, Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#ifndef HW_SIFIVE_PRCI_H +#define HW_SIFIVE_PRCI_H + +#define TYPE_SIFIVE_PRCI "riscv.sifive.prci" + +#define SIFIVE_PRCI(obj) \ + OBJECT_CHECK(SiFivePRCIState, (obj), TYPE_SIFIVE_PRCI) + +typedef struct SiFivePRCIState { + /*< private >*/ + SysBusDevice parent_obj; + + /*< public >*/ + MemoryRegion mmio; +} SiFivePRCIState; + +DeviceState *sifive_prci_create(hwaddr addr); + +#endif --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515638557097543.390294414591; Wed, 10 Jan 2018 18:42:37 -0800 (PST) Received: from localhost ([::1]:60733 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSp6-0001Bt-GJ for importer@patchew.org; Wed, 10 Jan 2018 21:42:32 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48220) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWr-0003OV-Uj for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:44 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWp-0008Ql-F7 for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:41 -0500 Received: from mail-pf0-x244.google.com ([2607:f8b0:400e:c00::244]:33662) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWp-0008Q4-5b for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:39 -0500 Received: by mail-pf0-x244.google.com with SMTP id y89so16965pfk.0 for ; Wed, 10 Jan 2018 18:23:39 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.37 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:37 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=1gvNBCH4hOFLN10BfsKzL8HIBsnQgIdclynTGFBZx8s=; b=dhYXcbglMbrAVb7XWpBV5MmHGDN1euksA4wxAhYlkxKXOXGWN1uRvQtFTIQQ1G+QnN syAOWxo+nYorMCCDVk3c5JPlqZB7Ek6dJHykVeV8uZ+fCmp37f1vauy0XKq85A6WX1Nu QxHCYSJ4i29CR9oB7f8bI/Y8PNUlN2JLSsTwnH/VU6Y51d0LH+OhxvNHod//cS5m+a5w Cl+3GV/KrkD4zAG/A9WPG9UD8sb5zNhbzMXHhQZTa2LPypEQcI3vLfxC72+eZtY24X0z iqh/yXv0PXnt6ljjYpgiwGwBRjuF5sX0szunddZ5Y60omScn+zPhkM1FCu0qnq0RStD/ CMwQ== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=1gvNBCH4hOFLN10BfsKzL8HIBsnQgIdclynTGFBZx8s=; b=Z7FMCBog+vLYhZaGiEhWbka0Tbxax6EBd0jsDU7SIpDYd5M2O+oAoNQpRvYAKTMGrw WQ1RlF4cLk1vea2pOny7wz5Tzf2G347o/ODDXxgUus+y6ai1CNc9tMdjS5hI2MRp+4Jk rIF7Q17gl4iOFSzmN2mE9hj4M5IPjVnbtVLUCj+Mo23R7quWz6GjGpY2b9KP7Q6Nh/kb wLv3PIl0oDvTlobnnCfz1R6WGL7DlFRelaNDzcgpxAOHOBLmAMiTecnFPxaaqtb156NC YQrsPKpCgfXZsmg8iDk2IRDxQ+/97qdDZRMGPXzt1vePovXN17SPcFPbXZBY0Sd0xnVp HeFQ== X-Gm-Message-State: AKGB3mJfWmJnnGPu5b1BKVCm9P3GJRK49vJKzgJUdes4bIvupJPtvolb PlMNFJNP/wjonn/C3fBtPVPp5yfTLBg= X-Google-Smtp-Source: ACJfBotifL0fAwfJ2DFSJHNrLtksgKZJykhqthomijxNzUiW7KEf/98nF6LvuC0c5vKs6Yxohu2EPg== X-Received: by 10.101.72.70 with SMTP id i6mr16893597pgs.9.1515637418034; Wed, 10 Jan 2018 18:23:38 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:22:02 -0800 Message-Id: <1515637324-96034-20-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c00::244 Subject: [Qemu-devel] [PATCH v3 19/21] SiFive Freedom E300 RISC-V Machine X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" This provides a RISC-V Board compatible with the the SiFive E300 SDK. The following machine is implemented: - 'sifive_e300'; CLINT, PLIC, UART, AON, GPIO, QSPI, PWM Signed-off-by: Michael Clark --- hw/riscv/sifive_e300.c | 232 +++++++++++++++++++++++++++++++++++++= ++++ include/hw/riscv/sifive_e300.h | 79 ++++++++++++++ 2 files changed, 311 insertions(+) create mode 100644 hw/riscv/sifive_e300.c create mode 100644 include/hw/riscv/sifive_e300.h diff --git a/hw/riscv/sifive_e300.c b/hw/riscv/sifive_e300.c new file mode 100644 index 0000000..bbea55a --- /dev/null +++ b/hw/riscv/sifive_e300.c @@ -0,0 +1,232 @@ +/* + * QEMU RISC-V Board Compatible with SiFive E300 SDK + * + * Copyright (c) 2017 SiFive, Inc. + * + * Provides a board compatible with the bsp in the SiFive E300 SDK: + * + * 0) UART + * 1) CLINT (Core Level Interruptor) + * 2) PLIC (Platform Level Interrupt Controller) + * 3) PRCI (Power, Reset, Clock, Interrupt) + * 4) Registers emulated as RAM: AON, GPIO, QSPI, PWM + * 5) Flash memory emulated as RAM + * + * The Mask ROM reset vector jumps to the flash payload at 0x2040_0000. + * The OTP ROM and Flash boot code will be emulated in a future version. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#include "qemu/osdep.h" +#include "qemu/log.h" +#include "qemu/error-report.h" +#include "hw/hw.h" +#include "hw/boards.h" +#include "hw/loader.h" +#include "hw/sysbus.h" +#include "hw/char/serial.h" +#include "target/riscv/cpu.h" +#include "hw/riscv/riscv_hart.h" +#include "hw/riscv/sifive_plic.h" +#include "hw/riscv/sifive_clint.h" +#include "hw/riscv/sifive_prci.h" +#include "hw/riscv/sifive_uart.h" +#include "hw/riscv/sifive_e300.h" +#include "chardev/char.h" +#include "sysemu/arch_init.h" +#include "exec/address-spaces.h" +#include "elf.h" + +static const struct MemmapEntry { + hwaddr base; + hwaddr size; +} sifive_e300_memmap[] =3D { + [SIFIVE_E300_DEBUG] =3D { 0x0, 0x100 }, + [SIFIVE_E300_MROM] =3D { 0x1000, 0x2000 }, + [SIFIVE_E300_OTP] =3D { 0x20000, 0x2000 }, + [SIFIVE_E300_CLINT] =3D { 0x2000000, 0x10000 }, + [SIFIVE_E300_PLIC] =3D { 0xc000000, 0x4000000 }, + [SIFIVE_E300_AON] =3D { 0x10000000, 0x8000 }, + [SIFIVE_E300_PRCI] =3D { 0x10008000, 0x8000 }, + [SIFIVE_E300_OTP_CTRL] =3D { 0x10010000, 0x1000 }, + [SIFIVE_E300_GPIO0] =3D { 0x10012000, 0x1000 }, + [SIFIVE_E300_UART0] =3D { 0x10013000, 0x1000 }, + [SIFIVE_E300_QSPI0] =3D { 0x10014000, 0x1000 }, + [SIFIVE_E300_PWM0] =3D { 0x10015000, 0x1000 }, + [SIFIVE_E300_UART1] =3D { 0x10023000, 0x1000 }, + [SIFIVE_E300_QSPI1] =3D { 0x10024000, 0x1000 }, + [SIFIVE_E300_PWM1] =3D { 0x10025000, 0x1000 }, + [SIFIVE_E300_QSPI2] =3D { 0x10034000, 0x1000 }, + [SIFIVE_E300_PWM2] =3D { 0x10035000, 0x1000 }, + [SIFIVE_E300_XIP] =3D { 0x20000000, 0x20000000 }, + [SIFIVE_E300_DTIM] =3D { 0x80000000, 0x4000 } +}; + +static uint64_t identity_translate(void *opaque, uint64_t addr) +{ + return addr; +} + +static uint64_t load_kernel(const char *kernel_filename) +{ + uint64_t kernel_entry, kernel_high; + + if (load_elf(kernel_filename, identity_translate, NULL, + &kernel_entry, NULL, &kernel_high, + /* little_endian =3D */ 0, ELF_MACHINE, 1, 0) < 0) { + error_report("qemu: could not load kernel '%s'", kernel_filename); + exit(1); + } + return kernel_entry; +} + +static void sifive_mmio_emulate(MemoryRegion *parent, const char *name, + uintptr_t offset, uintptr_t length) +{ + MemoryRegion *mock_mmio =3D g_new(MemoryRegion, 1); + memory_region_init_ram(mock_mmio, NULL, name, length, &error_fatal); + memory_region_add_subregion(parent, offset, mock_mmio); +} + +static void riscv_sifive_e300_init(MachineState *machine) +{ + const struct MemmapEntry *memmap =3D sifive_e300_memmap; + + SiFiveE300State *s =3D g_new0(SiFiveE300State, 1); + MemoryRegion *sys_mem =3D get_system_memory(); + MemoryRegion *main_mem =3D g_new(MemoryRegion, 1); + MemoryRegion *mask_rom =3D g_new(MemoryRegion, 1); + MemoryRegion *xip_mem =3D g_new(MemoryRegion, 1); + + /* Initialize SOC */ + object_initialize(&s->soc, sizeof(s->soc), TYPE_RISCV_HART_ARRAY); + object_property_add_child(OBJECT(machine), "soc", OBJECT(&s->soc), + &error_abort); + object_property_set_str(OBJECT(&s->soc), TYPE_RISCV_CPU_IMAC_PRIV_1_10, + "cpu-model", &error_abort); + object_property_set_int(OBJECT(&s->soc), smp_cpus, "num-harts", + &error_abort); + object_property_set_bool(OBJECT(&s->soc), true, "realized", + &error_abort); + + /* Data Tightly Integrated Memory */ + memory_region_init_ram(main_mem, NULL, "riscv.sifive.e300.ram", + memmap[SIFIVE_E300_DTIM].size, &error_fatal); + memory_region_add_subregion(sys_mem, + memmap[SIFIVE_E300_DTIM].base, main_mem); + + /* Mask ROM */ + memory_region_init_ram(mask_rom, NULL, "riscv.sifive.e300.mrom", + memmap[SIFIVE_E300_MROM].size, &error_fatal); + memory_region_add_subregion(sys_mem, + memmap[SIFIVE_E300_MROM].base, mask_rom); + + /* MMIO */ + s->plic =3D sifive_plic_create(memmap[SIFIVE_E300_PLIC].base, + (char *)SIFIVE_E300_PLIC_HART_CONFIG, + SIFIVE_E300_PLIC_NUM_SOURCES, + SIFIVE_E300_PLIC_NUM_PRIORITIES, + SIFIVE_E300_PLIC_PRIORITY_BASE, + SIFIVE_E300_PLIC_PENDING_BASE, + SIFIVE_E300_PLIC_ENABLE_BASE, + SIFIVE_E300_PLIC_ENABLE_STRIDE, + SIFIVE_E300_PLIC_CONTEXT_BASE, + SIFIVE_E300_PLIC_CONTEXT_STRIDE, + memmap[SIFIVE_E300_PLIC].size); + sifive_clint_create(memmap[SIFIVE_E300_CLINT].base, + memmap[SIFIVE_E300_CLINT].size, smp_cpus, + SIFIVE_SIP_BASE, SIFIVE_TIMECMP_BASE, SIFIVE_TIME_BASE); + sifive_mmio_emulate(sys_mem, "riscv.sifive.e300.aon", + memmap[SIFIVE_E300_AON].base, memmap[SIFIVE_E300_AON].size); + sifive_prci_create(memmap[SIFIVE_E300_PRCI].base); + sifive_mmio_emulate(sys_mem, "riscv.sifive.e300.gpio0", + memmap[SIFIVE_E300_GPIO0].base, memmap[SIFIVE_E300_GPIO0].size); + sifive_uart_create(sys_mem, memmap[SIFIVE_E300_UART0].base, + serial_hds[0], SIFIVE_PLIC(s->plic)->irqs[SIFIVE_E300_UART0_IRQ]); + sifive_mmio_emulate(sys_mem, "riscv.sifive.e300.qspi0", + memmap[SIFIVE_E300_QSPI0].base, memmap[SIFIVE_E300_QSPI0].size); + sifive_mmio_emulate(sys_mem, "riscv.sifive.e300.pwm0", + memmap[SIFIVE_E300_PWM0].base, memmap[SIFIVE_E300_PWM0].size); + /* sifive_uart_create(sys_mem, memmap[SIFIVE_E300_UART1].base, + serial_hds[1], SIFIVE_PLIC(s->plic)->irqs[SIFIVE_E300_UART1_IRQ]);= */ + sifive_mmio_emulate(sys_mem, "riscv.sifive.e300.qspi1", + memmap[SIFIVE_E300_QSPI1].base, memmap[SIFIVE_E300_QSPI1].size); + sifive_mmio_emulate(sys_mem, "riscv.sifive.e300.pwm1", + memmap[SIFIVE_E300_PWM1].base, memmap[SIFIVE_E300_PWM1].size); + sifive_mmio_emulate(sys_mem, "riscv.sifive.e300.qspi2", + memmap[SIFIVE_E300_QSPI2].base, memmap[SIFIVE_E300_QSPI2].size); + sifive_mmio_emulate(sys_mem, "riscv.sifive.e300.pwm2", + memmap[SIFIVE_E300_PWM2].base, memmap[SIFIVE_E300_PWM2].size); + + /* Flash memory */ + memory_region_init_ram(xip_mem, NULL, "riscv.sifive.e300.xip", + memmap[SIFIVE_E300_XIP].size, &error_fatal); + memory_region_set_readonly(xip_mem, true); + memory_region_add_subregion(sys_mem, + memmap[SIFIVE_E300_XIP].base, xip_mem); + + /* Mask ROM reset vector */ + uint32_t reset_vec[2] =3D { + 0x204002b7, /* 0x1000: lui t0,0x20400 */ + 0x00028067, /* 0x1004: jr t0 */ + }; + + /* copy in the reset vector */ + cpu_physical_memory_write(0x1000, reset_vec, sizeof(reset_vec)); + memory_region_set_readonly(mask_rom, true); + + if (machine->kernel_filename) { + load_kernel(machine->kernel_filename); + } +} + +static int riscv_sifive_e300_sysbus_device_init(SysBusDevice *sysbusdev) +{ + return 0; +} + +static void riscv_sifive_e300_class_init(ObjectClass *klass, void *data) +{ + SysBusDeviceClass *k =3D SYS_BUS_DEVICE_CLASS(klass); + k->init =3D riscv_sifive_e300_sysbus_device_init; +} + +static const TypeInfo riscv_sifive_e300_device =3D { + .name =3D TYPE_SIFIVE_E300, + .parent =3D TYPE_SYS_BUS_DEVICE, + .instance_size =3D sizeof(SiFiveE300State), + .class_init =3D riscv_sifive_e300_class_init, +}; + +static void riscv_sifive_e300_machine_init(MachineClass *mc) +{ + mc->desc =3D "RISC-V Board compatible with SiFive E300 SDK"; + mc->init =3D riscv_sifive_e300_init; + mc->max_cpus =3D 1; +} + +DEFINE_MACHINE("sifive_e300", riscv_sifive_e300_machine_init) + +static void riscv_sifive_e300_register_types(void) +{ + type_register_static(&riscv_sifive_e300_device); +} + +type_init(riscv_sifive_e300_register_types); diff --git a/include/hw/riscv/sifive_e300.h b/include/hw/riscv/sifive_e300.h new file mode 100644 index 0000000..453c43b --- /dev/null +++ b/include/hw/riscv/sifive_e300.h @@ -0,0 +1,79 @@ +/* + * SiFive E300 series machine interface + * + * Copyright (c) 2017 SiFive, Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#ifndef HW_SIFIVE_E300_H +#define HW_SIFIVE_E300_H + +#define TYPE_SIFIVE_E300 "riscv.sifive.e300" + +#define SIFIVE_E300(obj) \ + OBJECT_CHECK(SiFiveE300State, (obj), TYPE_SIFIVE_E300) + +typedef struct SiFiveE300State { + /*< private >*/ + SysBusDevice parent_obj; + + /*< public >*/ + RISCVHartArrayState soc; + DeviceState *plic; +} SiFiveE300State; + +enum { + SIFIVE_E300_DEBUG, + SIFIVE_E300_MROM, + SIFIVE_E300_OTP, + SIFIVE_E300_CLINT, + SIFIVE_E300_PLIC, + SIFIVE_E300_AON, + SIFIVE_E300_PRCI, + SIFIVE_E300_OTP_CTRL, + SIFIVE_E300_GPIO0, + SIFIVE_E300_UART0, + SIFIVE_E300_QSPI0, + SIFIVE_E300_PWM0, + SIFIVE_E300_UART1, + SIFIVE_E300_QSPI1, + SIFIVE_E300_PWM1, + SIFIVE_E300_QSPI2, + SIFIVE_E300_PWM2, + SIFIVE_E300_XIP, + SIFIVE_E300_DTIM +}; + +enum { + SIFIVE_E300_UART0_IRQ =3D 3, + SIFIVE_E300_UART1_IRQ =3D 4 +}; + +#define SIFIVE_E300_PLIC_HART_CONFIG "M" +#define SIFIVE_E300_PLIC_NUM_SOURCES 127 +#define SIFIVE_E300_PLIC_NUM_PRIORITIES 7 +#define SIFIVE_E300_PLIC_PRIORITY_BASE 0x0 +#define SIFIVE_E300_PLIC_PENDING_BASE 0x1000 +#define SIFIVE_E300_PLIC_ENABLE_BASE 0x2000 +#define SIFIVE_E300_PLIC_ENABLE_STRIDE 0x80 +#define SIFIVE_E300_PLIC_CONTEXT_BASE 0x200000 +#define SIFIVE_E300_PLIC_CONTEXT_STRIDE 0x1000 + +#endif --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515638143391683.2776942400475; Wed, 10 Jan 2018 18:35:43 -0800 (PST) Received: from localhost ([::1]:59970 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSiU-0004Zf-Bv for importer@patchew.org; Wed, 10 Jan 2018 21:35:42 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48262) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWu-0003Qy-2e for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:46 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWq-0008S0-F4 for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:44 -0500 Received: from mail-pf0-x244.google.com ([2607:f8b0:400e:c00::244]:39780) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWq-0008RA-4u for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:40 -0500 Received: by mail-pf0-x244.google.com with SMTP id e11so17195pff.6 for ; Wed, 10 Jan 2018 18:23:40 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.38 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:38 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=cEW8mNAgHosOEOaBD/uDrUVmp1LZ4RsnJqZ3f1Zui5A=; b=fuHsuHoO3luxGAVqtgEHU5enrRrrCimu4NpIHMYpH1yhgBloDV+P5FqhtFJLz9QAwP eb1vUVAGKu9I1JOwnr+FnuXD63OsNPnsecb7Z3SjMR/wQLyoO13aLNMWHRXFbdR8+VKa CUmfeI9L0aDbo6vk63URLFkhuRpJYiELAD0jRHJdRSH5N+aDTayzfZAtNGgR3Yv/vEtw eKvOlZtQ9d1B129b8wJaGRTmCG65arfTavy02sSp7YPmQzlRfk8OCbJo6at2TmjjjfzF 4BDh+uhnCp0vnpproezoI9WFFKyWRFiph03RJrdwh2uOG8eRdhP6ScQPM0W5a3BovHQN FMrg== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=cEW8mNAgHosOEOaBD/uDrUVmp1LZ4RsnJqZ3f1Zui5A=; b=QAOPJHqycSXWcj8grmFB/n0bnA478gu2EhgLA66Fcu3ck+rmzn0FlvDaR3go7l9N+F bPgiutKys/9b7tW9Ljz+UbBvctM+91U4NDmdmSJXQtiYiTG1Pi9It8uxxgr2Xb7xfdwL G8gSlrLaTPEtrkikKFiZNq82ZHs6Te4CSqr5TlWERYGEf8MfQiGLi8YywaLmNeSo4FAJ GOuia8E75x89elRdJ9KydDEi0z9uEOpOkfSbyarLNg6tnhR9lP+oiQMmyCGdm5pk3Gzb ArDXObMs0dicNCOuat5ea/3NvAauoeKjQTPUVwJ9rBuresivjBPmdy+QaPJGpP9KjVTT 4ceg== X-Gm-Message-State: AKGB3mKactA6Z6wVycda06MM0Lqax695etcnKBi7TaHT1IQXXxeJ5WSI 1VOtmCMktDixk4llZQ1cziHPm+EyaEs= X-Google-Smtp-Source: ACJfBovxEdsgPczNARLUfmj1BLa4+ei8LPWt3BoeyxxHRgpviDoE2mW/Rdsk9nzw4Jbz2d818leIWA== X-Received: by 10.84.238.140 with SMTP id v12mr10704466plk.14.1515637418955; Wed, 10 Jan 2018 18:23:38 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:22:03 -0800 Message-Id: <1515637324-96034-21-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c00::244 Subject: [Qemu-devel] [PATCH v3 20/21] SiFive Freedom U500 RISC-V Machine X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" This provides a RISC-V Board compatible with the the SiFive U500 SDK. The following machine is implemented: - 'sifive_u500'; CLINT, PLIC, UART, device-tree Signed-off-by: Michael Clark --- hw/riscv/sifive_u500.c | 338 +++++++++++++++++++++++++++++++++++++= ++++ include/hw/riscv/sifive_u500.h | 69 +++++++++ 2 files changed, 407 insertions(+) create mode 100644 hw/riscv/sifive_u500.c create mode 100644 include/hw/riscv/sifive_u500.h diff --git a/hw/riscv/sifive_u500.c b/hw/riscv/sifive_u500.c new file mode 100644 index 0000000..9cc411e --- /dev/null +++ b/hw/riscv/sifive_u500.c @@ -0,0 +1,338 @@ +/* + * QEMU RISC-V Board Compatible with SiFive U500 SDK + * + * Copyright (c) 2016-2017 Sagar Karandikar, sagark@eecs.berkeley.edu + * Copyright (c) 2017 SiFive, Inc. + * + * This provides a RISC-V Board compatible with the the SiFive U500 SDK + * + * 0) UART + * 1) CLINT (Core Level Interruptor) + * 2) PLIC (Platform Level Interrupt Controller) + * + * This board currently uses a hardcoded devicetree that indicates one har= t. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#include "qemu/osdep.h" +#include "qemu/log.h" +#include "qemu/error-report.h" +#include "hw/hw.h" +#include "hw/boards.h" +#include "hw/loader.h" +#include "hw/sysbus.h" +#include "hw/char/serial.h" +#include "target/riscv/cpu.h" +#include "hw/riscv/riscv_hart.h" +#include "hw/riscv/sifive_plic.h" +#include "hw/riscv/sifive_clint.h" +#include "hw/riscv/sifive_uart.h" +#include "hw/riscv/sifive_prci.h" +#include "hw/riscv/sifive_u500.h" +#include "chardev/char.h" +#include "sysemu/arch_init.h" +#include "sysemu/device_tree.h" +#include "exec/address-spaces.h" +#include "elf.h" + +static const struct MemmapEntry { + hwaddr base; + hwaddr size; +} sifive_u500_memmap[] =3D { + [SIFIVE_U500_DEBUG] =3D { 0x0, 0x100 }, + [SIFIVE_U500_MROM] =3D { 0x1000, 0x2000 }, + [SIFIVE_U500_CLINT] =3D { 0x2000000, 0x10000 }, + [SIFIVE_U500_PLIC] =3D { 0xc000000, 0x4000000 }, + [SIFIVE_U500_UART0] =3D { 0x10013000, 0x1000 }, + [SIFIVE_U500_UART1] =3D { 0x10023000, 0x1000 }, + [SIFIVE_U500_DRAM] =3D { 0x80000000, 0x0 }, +}; + +static uint64_t identity_translate(void *opaque, uint64_t addr) +{ + return addr; +} + +static uint64_t load_kernel(const char *kernel_filename) +{ + uint64_t kernel_entry, kernel_high; + + if (load_elf(kernel_filename, identity_translate, NULL, + &kernel_entry, NULL, &kernel_high, + /* little_endian =3D */ 0, ELF_MACHINE, 1, 0) < 0) { + error_report("qemu: could not load kernel '%s'", kernel_filename); + exit(1); + } + return kernel_entry; +} + +static void create_fdt(SiFiveU500State *s, const struct MemmapEntry *memma= p, + uint64_t mem_size, const char *cmdline) +{ + void *fdt; + int cpu; + uint32_t *cells; + char *nodename; + uint32_t plic_phandle; + + fdt =3D s->fdt =3D create_device_tree(&s->fdt_size); + if (!fdt) { + error_report("create_device_tree() failed"); + exit(1); + } + + qemu_fdt_setprop_string(fdt, "/", "model", "ucbbar,spike-bare,qemu"); + qemu_fdt_setprop_string(fdt, "/", "compatible", "ucbbar,spike-bare-dev= "); + qemu_fdt_setprop_cell(fdt, "/", "#size-cells", 0x2); + qemu_fdt_setprop_cell(fdt, "/", "#address-cells", 0x2); + + qemu_fdt_add_subnode(fdt, "/soc"); + qemu_fdt_setprop(fdt, "/soc", "ranges", NULL, 0); + qemu_fdt_setprop_string(fdt, "/soc", "compatible", "ucbbar,spike-bare-= soc"); + qemu_fdt_setprop_cell(fdt, "/soc", "#size-cells", 0x2); + qemu_fdt_setprop_cell(fdt, "/soc", "#address-cells", 0x2); + + nodename =3D g_strdup_printf("/memory@%lx", + (long)memmap[SIFIVE_U500_DRAM].base); + qemu_fdt_add_subnode(fdt, nodename); + qemu_fdt_setprop_cells(fdt, nodename, "reg", + memmap[SIFIVE_U500_DRAM].base >> 32, memmap[SIFIVE_U500_DRAM].base, + mem_size >> 32, mem_size); + qemu_fdt_setprop_string(fdt, nodename, "device_type", "memory"); + g_free(nodename); + + qemu_fdt_add_subnode(fdt, "/cpus"); + qemu_fdt_setprop_cell(fdt, "/cpus", "timebase-frequency", 10000000); + qemu_fdt_setprop_cell(fdt, "/cpus", "#size-cells", 0x0); + qemu_fdt_setprop_cell(fdt, "/cpus", "#address-cells", 0x1); + + for (cpu =3D s->soc.num_harts - 1; cpu >=3D 0; cpu--) { + nodename =3D g_strdup_printf("/cpus/cpu@%d", cpu); + char *intc =3D g_strdup_printf("/cpus/cpu@%d/interrupt-controller"= , cpu); + char *isa =3D riscv_isa_string(&s->soc.harts[cpu]); + qemu_fdt_add_subnode(fdt, nodename); + qemu_fdt_setprop_cell(fdt, nodename, "clock-frequency", 1000000000= ); + qemu_fdt_setprop_string(fdt, nodename, "mmu-type", "riscv,sv48"); + qemu_fdt_setprop_string(fdt, nodename, "riscv,isa", isa); + qemu_fdt_setprop_string(fdt, nodename, "compatible", "riscv"); + qemu_fdt_setprop_string(fdt, nodename, "status", "okay"); + qemu_fdt_setprop_cell(fdt, nodename, "reg", cpu); + qemu_fdt_setprop_string(fdt, nodename, "device_type", "cpu"); + qemu_fdt_add_subnode(fdt, intc); + qemu_fdt_setprop_cell(fdt, intc, "phandle", 1); + qemu_fdt_setprop_cell(fdt, intc, "linux,phandle", 1); + qemu_fdt_setprop_string(fdt, intc, "compatible", "riscv,cpu-intc"); + qemu_fdt_setprop(fdt, intc, "interrupt-controller", NULL, 0); + qemu_fdt_setprop_cell(fdt, intc, "#interrupt-cells", 1); + g_free(isa); + g_free(intc); + g_free(nodename); + } + + cells =3D g_new0(uint32_t, s->soc.num_harts * 4); + for (cpu =3D 0; cpu < s->soc.num_harts; cpu++) { + nodename =3D + g_strdup_printf("/cpus/cpu@%d/interrupt-controller", cpu); + uint32_t intc_phandle =3D qemu_fdt_get_phandle(fdt, nodename); + cells[cpu * 4 + 0] =3D cpu_to_be32(intc_phandle); + cells[cpu * 4 + 1] =3D cpu_to_be32(IRQ_M_SOFT); + cells[cpu * 4 + 2] =3D cpu_to_be32(intc_phandle); + cells[cpu * 4 + 3] =3D cpu_to_be32(IRQ_M_TIMER); + g_free(nodename); + } + nodename =3D g_strdup_printf("/soc/clint@%lx", + (long)memmap[SIFIVE_U500_CLINT].base); + qemu_fdt_add_subnode(fdt, nodename); + qemu_fdt_setprop_string(fdt, nodename, "compatible", "riscv,clint0"); + qemu_fdt_setprop_cells(fdt, nodename, "reg", + 0x0, memmap[SIFIVE_U500_CLINT].base, + 0x0, memmap[SIFIVE_U500_CLINT].size); + qemu_fdt_setprop(fdt, nodename, "interrupts-extended", + cells, s->soc.num_harts * sizeof(uint32_t) * 4); + g_free(cells); + g_free(nodename); + + cells =3D g_new0(uint32_t, s->soc.num_harts * 4); + for (cpu =3D 0; cpu < s->soc.num_harts; cpu++) { + nodename =3D + g_strdup_printf("/cpus/cpu@%d/interrupt-controller", cpu); + uint32_t intc_phandle =3D qemu_fdt_get_phandle(fdt, nodename); + cells[cpu * 4 + 0] =3D cpu_to_be32(intc_phandle); + cells[cpu * 4 + 1] =3D cpu_to_be32(IRQ_M_EXT); + cells[cpu * 4 + 2] =3D cpu_to_be32(intc_phandle); + cells[cpu * 4 + 3] =3D cpu_to_be32(IRQ_S_EXT); + g_free(nodename); + } + nodename =3D g_strdup_printf("/soc/interrupt-controller@%lx", + (long)memmap[SIFIVE_U500_PLIC].base); + qemu_fdt_add_subnode(fdt, nodename); + qemu_fdt_setprop_cell(fdt, nodename, "#interrupt-cells", 1); + qemu_fdt_setprop_string(fdt, nodename, "compatible", "riscv,plic0"); + qemu_fdt_setprop(fdt, nodename, "interrupt-controller", NULL, 0); + qemu_fdt_setprop(fdt, nodename, "interrupts-extended", + cells, s->soc.num_harts * sizeof(uint32_t) * 4); + qemu_fdt_setprop_cells(fdt, nodename, "reg", + 0x0, memmap[SIFIVE_U500_PLIC].base, + 0x0, memmap[SIFIVE_U500_PLIC].size); + qemu_fdt_setprop_string(fdt, nodename, "reg-names", "control"); + qemu_fdt_setprop_cell(fdt, nodename, "riscv,max-priority", 7); + qemu_fdt_setprop_cell(fdt, nodename, "riscv,ndev", 4); + qemu_fdt_setprop_cells(fdt, nodename, "phandle", 2); + qemu_fdt_setprop_cells(fdt, nodename, "linux,phandle", 2); + plic_phandle =3D qemu_fdt_get_phandle(fdt, nodename); + g_free(cells); + g_free(nodename); + + nodename =3D g_strdup_printf("/uart@%lx", + (long)memmap[SIFIVE_U500_UART0].base); + qemu_fdt_add_subnode(fdt, nodename); + qemu_fdt_setprop_string(fdt, nodename, "compatible", "sifive,uart0"); + qemu_fdt_setprop_cells(fdt, nodename, "reg", + 0x0, memmap[SIFIVE_U500_UART0].base, + 0x0, memmap[SIFIVE_U500_UART0].size); + qemu_fdt_setprop_cells(fdt, nodename, "interrupt-parent", plic_phandle= ); + qemu_fdt_setprop_cells(fdt, nodename, "interrupts", 1); + + qemu_fdt_add_subnode(fdt, "/chosen"); + qemu_fdt_setprop_string(fdt, "/chosen", "stdout-path", nodename); + qemu_fdt_setprop_string(fdt, "/chosen", "bootargs", cmdline); + g_free(nodename); +} + +static void riscv_sifive_u500_init(MachineState *machine) +{ + const struct MemmapEntry *memmap =3D sifive_u500_memmap; + + SiFiveU500State *s =3D g_new0(SiFiveU500State, 1); + MemoryRegion *sys_memory =3D get_system_memory(); + MemoryRegion *main_mem =3D g_new(MemoryRegion, 1); + MemoryRegion *boot_rom =3D g_new(MemoryRegion, 1); + + /* Initialize SOC */ + object_initialize(&s->soc, sizeof(s->soc), TYPE_RISCV_HART_ARRAY); + object_property_add_child(OBJECT(machine), "soc", OBJECT(&s->soc), + &error_abort); + object_property_set_str(OBJECT(&s->soc), TYPE_RISCV_CPU_IMAFDCSU_PRIV_= 1_10, + "cpu-model", &error_abort); + object_property_set_int(OBJECT(&s->soc), smp_cpus, "num-harts", + &error_abort); + object_property_set_bool(OBJECT(&s->soc), true, "realized", + &error_abort); + + /* register RAM */ + memory_region_init_ram(main_mem, NULL, "riscv.sifive.u500.ram", + machine->ram_size, &error_fatal); + /* for phys mem size check in page table walk */ + memory_region_add_subregion(sys_memory, memmap[SIFIVE_U500_DRAM].base, + main_mem); + + /* create device tree */ + create_fdt(s, memmap, machine->ram_size, machine->kernel_cmdline); + + /* boot rom */ + memory_region_init_ram(boot_rom, NULL, "riscv.sifive.u500.bootrom", + 0x10000, &error_fatal); + memory_region_set_readonly(boot_rom, true); + memory_region_add_subregion(sys_memory, 0x0, boot_rom); + + if (machine->kernel_filename) { + load_kernel(machine->kernel_filename); + } + + /* reset vector */ + uint32_t reset_vec[8] =3D { + 0x00000297, /* 1: auipc t0, %pcrel_hi(dtb) */ + 0x02028593, /* addi a1, t0, %pcrel_lo(1b)= */ + 0xf1402573, /* csrr a0, mhartid */ +#if defined(TARGET_RISCV32) + 0x0182a283, /* lw t0, 24(t0) */ +#elif defined(TARGET_RISCV64) + 0x0182b283, /* ld t0, 24(t0) */ +#endif + 0x00028067, /* jr t0 */ + 0x00000000, + memmap[SIFIVE_U500_DRAM].base, /* start: .dword DRAM_BASE */ + 0x00000000, + /* dtb: */ + }; + + /* copy in the reset vector */ + cpu_physical_memory_write(memmap[SIFIVE_U500_MROM].base, + reset_vec, sizeof(reset_vec)); + + /* copy in the device tree */ + qemu_fdt_dumpdtb(s->fdt, s->fdt_size); + cpu_physical_memory_write(memmap[SIFIVE_U500_MROM].base + + sizeof(reset_vec), s->fdt, s->fdt_size); + + /* MMIO */ + s->plic =3D sifive_plic_create(memmap[SIFIVE_U500_PLIC].base, + (char *)SIFIVE_U500_PLIC_HART_CONFIG, + SIFIVE_U500_PLIC_NUM_SOURCES, + SIFIVE_U500_PLIC_NUM_PRIORITIES, + SIFIVE_U500_PLIC_PRIORITY_BASE, + SIFIVE_U500_PLIC_PENDING_BASE, + SIFIVE_U500_PLIC_ENABLE_BASE, + SIFIVE_U500_PLIC_ENABLE_STRIDE, + SIFIVE_U500_PLIC_CONTEXT_BASE, + SIFIVE_U500_PLIC_CONTEXT_STRIDE, + memmap[SIFIVE_U500_PLIC].size); + sifive_uart_create(sys_memory, memmap[SIFIVE_U500_UART0].base, + serial_hds[0], SIFIVE_PLIC(s->plic)->irqs[SIFIVE_U500_UART0_IRQ]); + /* sifive_uart_create(sys_memory, memmap[SIFIVE_U500_UART1].base, + serial_hds[1], SIFIVE_PLIC(s->plic)->irqs[SIFIVE_U500_UART1_IRQ]);= */ + sifive_clint_create(memmap[SIFIVE_U500_CLINT].base, + memmap[SIFIVE_U500_CLINT].size, smp_cpus, + SIFIVE_SIP_BASE, SIFIVE_TIMECMP_BASE, SIFIVE_TIME_BASE); +} + +static int riscv_sifive_u500_sysbus_device_init(SysBusDevice *sysbusdev) +{ + return 0; +} + +static void riscv_sifive_u500_class_init(ObjectClass *klass, void *data) +{ + SysBusDeviceClass *k =3D SYS_BUS_DEVICE_CLASS(klass); + k->init =3D riscv_sifive_u500_sysbus_device_init; +} + +static const TypeInfo riscv_sifive_u500_device =3D { + .name =3D TYPE_SIFIVE_U500, + .parent =3D TYPE_SYS_BUS_DEVICE, + .instance_size =3D sizeof(SiFiveU500State), + .class_init =3D riscv_sifive_u500_class_init, +}; + +static void riscv_sifive_u500_register_types(void) +{ + type_register_static(&riscv_sifive_u500_device); +} + +type_init(riscv_sifive_u500_register_types); + +static void riscv_sifive_u500_machine_init(MachineClass *mc) +{ + mc->desc =3D "RISC-V Board compatible with SiFive U500 SDK"; + mc->init =3D riscv_sifive_u500_init; + mc->max_cpus =3D 1; +} + +DEFINE_MACHINE("sifive_u500", riscv_sifive_u500_machine_init) diff --git a/include/hw/riscv/sifive_u500.h b/include/hw/riscv/sifive_u500.h new file mode 100644 index 0000000..1e0486f --- /dev/null +++ b/include/hw/riscv/sifive_u500.h @@ -0,0 +1,69 @@ +/* + * SiFive U500 series machine interface + * + * Copyright (c) 2017 SiFive, Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a= copy + * of this software and associated documentation files (the "Software"), t= o deal + * in the Software without restriction, including without limitation the r= ights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or se= ll + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included= in + * all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS= OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL + * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OT= HER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING= FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS = IN + * THE SOFTWARE. + */ + +#ifndef HW_SIFIVE_U500_H +#define HW_SIFIVE_U500_H + +#define TYPE_SIFIVE_U500 "riscv.sifive_u500" + +#define SIFIVE_U500(obj) \ + OBJECT_CHECK(SiFiveU500State, (obj), TYPE_SIFIVE_U500) + +typedef struct SiFiveU500State { + /*< private >*/ + SysBusDevice parent_obj; + + /*< public >*/ + RISCVHartArrayState soc; + DeviceState *plic; + void *fdt; + int fdt_size; +} SiFiveU500State; + +enum { + SIFIVE_U500_DEBUG, + SIFIVE_U500_MROM, + SIFIVE_U500_CLINT, + SIFIVE_U500_PLIC, + SIFIVE_U500_UART0, + SIFIVE_U500_UART1, + SIFIVE_U500_DRAM +}; + +enum { + SIFIVE_U500_UART0_IRQ =3D 3, + SIFIVE_U500_UART1_IRQ =3D 4 +}; + +#define SIFIVE_U500_PLIC_HART_CONFIG "MS" +#define SIFIVE_U500_PLIC_NUM_SOURCES 127 +#define SIFIVE_U500_PLIC_NUM_PRIORITIES 7 +#define SIFIVE_U500_PLIC_PRIORITY_BASE 0x0 +#define SIFIVE_U500_PLIC_PENDING_BASE 0x1000 +#define SIFIVE_U500_PLIC_ENABLE_BASE 0x2000 +#define SIFIVE_U500_PLIC_ENABLE_STRIDE 0x80 +#define SIFIVE_U500_PLIC_CONTEXT_BASE 0x200000 +#define SIFIVE_U500_PLIC_CONTEXT_STRIDE 0x1000 + +#endif --=20 2.7.0 From nobody Tue Apr 23 12:13:35 2024 Delivered-To: importer@patchew.org Received-SPF: pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) client-ip=208.118.235.17; envelope-from=qemu-devel-bounces+importer=patchew.org@nongnu.org; helo=lists.gnu.org; Authentication-Results: mx.zohomail.com; dkim=fail; spf=pass (zoho.com: domain of gnu.org designates 208.118.235.17 as permitted sender) smtp.mailfrom=qemu-devel-bounces+importer=patchew.org@nongnu.org Return-Path: Received: from lists.gnu.org (lists.gnu.org [208.118.235.17]) by mx.zohomail.com with SMTPS id 1515638630815965.2440948361108; Wed, 10 Jan 2018 18:43:50 -0800 (PST) Received: from localhost ([::1]:60796 helo=lists.gnu.org) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSqM-0002nj-1y for importer@patchew.org; Wed, 10 Jan 2018 21:43:50 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:48256) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1eZSWt-0003QO-JV for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:45 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1eZSWr-0008Sz-Dm for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:43 -0500 Received: from mail-pg0-x243.google.com ([2607:f8b0:400e:c05::243]:43112) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1eZSWr-0008SD-2F for qemu-devel@nongnu.org; Wed, 10 Jan 2018 21:23:41 -0500 Received: by mail-pg0-x243.google.com with SMTP id f14so1645654pga.10 for ; Wed, 10 Jan 2018 18:23:41 -0800 (PST) Received: from monty.com ([12.206.222.5]) by smtp.gmail.com with ESMTPSA id e12sm33545939pgu.81.2018.01.10.18.23.38 (version=TLS1_2 cipher=ECDHE-RSA-AES128-SHA bits=128/128); Wed, 10 Jan 2018 18:23:39 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sifive.com; s=google; h=from:to:cc:subject:date:message-id:in-reply-to:references; bh=ca5OE13BnRgWLCq9D+2pH5KzDlswCbaFmjVYd1G2/cY=; b=mSp6Z1OKCnO9Sj69TYhhGug17TmArBsPwDNQDbh82IwTissP8bP1T25xadQ5caD9av cOau8vb1bVdpQJYyq71mgYAqui8PZPUdGTO47fsTZBzfE9sVrM7K6LTlCrR9PlIzPCwI LVUQTX3Yuxt5MK8AxIj78dS4UtnpDuvt9us0QiabX3VXEMt1n9NOt9OML6gdYPOCsKVl OJ+l9tyJpvP1WxMd1yaosRuf8vYAB2dMmDA2h1Td9tYkuCDpWw45A1nrzXrj+LiYulBU qyChhtv09Sn5/dd1ON2ooz14noj+LKwlm13UODoA8HXoIIqa3rDq3lVf0TzOC9Um15rB V2hQ== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:to:cc:subject:date:message-id:in-reply-to :references; bh=ca5OE13BnRgWLCq9D+2pH5KzDlswCbaFmjVYd1G2/cY=; b=fcvM8E/DLVPzEoXaRErEGWFJo/mJTBR7Bk0waui7afP8PewvzCMcCiEN/3fmWuyHlv 6r0v5G9DQQjHRJOcmLTQ3c57VLBAVOFy8FSruuZdOEGrumWD0uuHQt5vsSkJTiNoIG8Q sjRT7e2DiXePIHDvMRwIoTA+wCLnf6t1TgEyG9PjgMZmRyi0xGKH7X2dEyZVw0bmfbOl ufG/aF+ZJXAru0TrayFpid4mOWUQDPQwL9r1fNkXDDLmQdsDDTvhfYka8nE61nbURE2H Y6/2lHThHRwp/Xt6Z7aBxD29+QtgETc8gAb9q8/u6gz2HXJ+aqfM0Bbx7sNPV8NOeDbe qj+g== X-Gm-Message-State: AKGB3mIpK4KNJ2WLCL++nOghev5+msgPJwQCA/qjS5A7jt6yChY0eGok IdbP+0L0EPgMHvU0N0hvbDqy8TKwPlM= X-Google-Smtp-Source: ACJfBoufsReLJEJ9PglhDZh4jVuxekCPTVykScVssYRgMFk7p4Ynx6mUp2/c5sbo8JzUSjjhg9d+9Q== X-Received: by 10.84.224.5 with SMTP id r5mr13637405plj.307.1515637420053; Wed, 10 Jan 2018 18:23:40 -0800 (PST) From: Michael Clark To: qemu-devel@nongnu.org Date: Wed, 10 Jan 2018 18:22:04 -0800 Message-Id: <1515637324-96034-22-git-send-email-mjc@sifive.com> X-Mailer: git-send-email 2.7.0 In-Reply-To: <1515637324-96034-1-git-send-email-mjc@sifive.com> References: <1515637324-96034-1-git-send-email-mjc@sifive.com> X-detected-operating-system: by eggs.gnu.org: Genre and OS details not recognized. X-Received-From: 2607:f8b0:400e:c05::243 Subject: [Qemu-devel] [PATCH v3 21/21] RISC-V Build Infrastructure X-BeenThere: qemu-devel@nongnu.org X-Mailman-Version: 2.1.21 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: Bastian Koppelmann , Michael Clark , Palmer Dabbelt , Sagar Karandikar , RISC-V Patches Errors-To: qemu-devel-bounces+importer=patchew.org@nongnu.org Sender: "Qemu-devel" X-ZohoMail-DKIM: fail (Header signature does not verify) X-ZohoMail: RDKM_2 RSF_0 Z_629925259 SPT_0 Content-Transfer-Encoding: quoted-printable MIME-Version: 1.0 Content-Type: text/plain; charset="utf-8" This adds RISC-V into the build system enabling the following targets: - riscv32-softmmu - riscv64-softmmu - riscv32-linux-user - riscv64-linux-user This adds defaults configs for RISC-V, enables the build for the RISC-V CPU core, hardware, and Linux User Emulation. The 'qemu-binfmt-conf.sh' script is updated to add the RISC-V ELF magic. Expected checkpatch errors for consistency reasons: ERROR: line over 90 characters FILE: scripts/qemu-binfmt-conf.sh Signed-off-by: Michael Clark --- Makefile.objs | 1 + arch_init.c | 2 ++ configure | 11 +++++++++++ cpus.c | 6 ++++++ default-configs/riscv32-linux-user.mak | 1 + default-configs/riscv32-softmmu.mak | 4 ++++ default-configs/riscv64-linux-user.mak | 1 + default-configs/riscv64-softmmu.mak | 4 ++++ hw/riscv/Makefile.objs | 12 ++++++++++++ include/sysemu/arch_init.h | 1 + qapi-schema.json | 14 +++++++++++++- scripts/qemu-binfmt-conf.sh | 13 ++++++++++++- target/riscv/Makefile.objs | 2 ++ target/riscv/trace-events | 1 + 14 files changed, 71 insertions(+), 2 deletions(-) create mode 100644 default-configs/riscv32-linux-user.mak create mode 100644 default-configs/riscv32-softmmu.mak create mode 100644 default-configs/riscv64-linux-user.mak create mode 100644 default-configs/riscv64-softmmu.mak create mode 100644 hw/riscv/Makefile.objs create mode 100644 target/riscv/Makefile.objs create mode 100644 target/riscv/trace-events diff --git a/Makefile.objs b/Makefile.objs index 285c6f3..ae8658e 100644 --- a/Makefile.objs +++ b/Makefile.objs @@ -164,6 +164,7 @@ trace-events-subdirs +=3D target/mips trace-events-subdirs +=3D target/sparc trace-events-subdirs +=3D target/s390x trace-events-subdirs +=3D target/ppc +trace-events-subdirs +=3D target/riscv trace-events-subdirs +=3D qom trace-events-subdirs +=3D linux-user trace-events-subdirs +=3D qapi diff --git a/arch_init.c b/arch_init.c index a0b8ed6..dcf356b 100644 --- a/arch_init.c +++ b/arch_init.c @@ -69,6 +69,8 @@ int graphic_depth =3D 32; #define QEMU_ARCH QEMU_ARCH_OPENRISC #elif defined(TARGET_PPC) #define QEMU_ARCH QEMU_ARCH_PPC +#elif defined(TARGET_RISCV) +#define QEMU_ARCH QEMU_ARCH_RISCV #elif defined(TARGET_S390X) #define QEMU_ARCH QEMU_ARCH_S390X #elif defined(TARGET_SH4) diff --git a/configure b/configure index 6a04082..a8151f9 100755 --- a/configure +++ b/configure @@ -6565,6 +6565,14 @@ case "$target_name" in echo "TARGET_ABI32=3Dy" >> $config_target_mak gdb_xml_files=3D"power64-core.xml power-fpu.xml power-altivec.xml powe= r-spe.xml power-vsx.xml" ;; + riscv32) + TARGET_BASE_ARCH=3Driscv + TARGET_ABI_DIR=3Driscv + ;; + riscv64) + TARGET_BASE_ARCH=3Driscv + TARGET_ABI_DIR=3Driscv + ;; sh4|sh4eb) TARGET_ARCH=3Dsh4 bflt=3D"yes" @@ -6730,6 +6738,9 @@ for i in $ARCH $TARGET_BASE_ARCH ; do ppc*) disas_config "PPC" ;; + riscv) + disas_config "RISCV" + ;; s390*) disas_config "S390" ;; diff --git a/cpus.c b/cpus.c index e8139de..499be10 100644 --- a/cpus.c +++ b/cpus.c @@ -1995,6 +1995,9 @@ CpuInfoList *qmp_query_cpus(Error **errp) #elif defined(TARGET_SPARC) SPARCCPU *sparc_cpu =3D SPARC_CPU(cpu); CPUSPARCState *env =3D &sparc_cpu->env; +#elif defined(TARGET_RISCV) + RISCVCPU *riscv_cpu =3D RISCV_CPU(cpu); + CPURISCVState *env =3D &riscv_cpu->env; #elif defined(TARGET_MIPS) MIPSCPU *mips_cpu =3D MIPS_CPU(cpu); CPUMIPSState *env =3D &mips_cpu->env; @@ -2028,6 +2031,9 @@ CpuInfoList *qmp_query_cpus(Error **errp) #elif defined(TARGET_TRICORE) info->value->arch =3D CPU_INFO_ARCH_TRICORE; info->value->u.tricore.PC =3D env->PC; +#elif defined(TARGET_RISCV) + info->value->arch =3D CPU_INFO_ARCH_RISCV; + info->value->u.riscv.pc =3D env->pc; #else info->value->arch =3D CPU_INFO_ARCH_OTHER; #endif diff --git a/default-configs/riscv32-linux-user.mak b/default-configs/riscv= 32-linux-user.mak new file mode 100644 index 0000000..865b362 --- /dev/null +++ b/default-configs/riscv32-linux-user.mak @@ -0,0 +1 @@ +# Default configuration for riscv-linux-user diff --git a/default-configs/riscv32-softmmu.mak b/default-configs/riscv32-= softmmu.mak new file mode 100644 index 0000000..f9e7421 --- /dev/null +++ b/default-configs/riscv32-softmmu.mak @@ -0,0 +1,4 @@ +# Default configuration for riscv-softmmu + +CONFIG_SERIAL=3Dy +CONFIG_VIRTIO=3Dy diff --git a/default-configs/riscv64-linux-user.mak b/default-configs/riscv= 64-linux-user.mak new file mode 100644 index 0000000..865b362 --- /dev/null +++ b/default-configs/riscv64-linux-user.mak @@ -0,0 +1 @@ +# Default configuration for riscv-linux-user diff --git a/default-configs/riscv64-softmmu.mak b/default-configs/riscv64-= softmmu.mak new file mode 100644 index 0000000..f9e7421 --- /dev/null +++ b/default-configs/riscv64-softmmu.mak @@ -0,0 +1,4 @@ +# Default configuration for riscv-softmmu + +CONFIG_SERIAL=3Dy +CONFIG_VIRTIO=3Dy diff --git a/hw/riscv/Makefile.objs b/hw/riscv/Makefile.objs new file mode 100644 index 0000000..a0c31ae --- /dev/null +++ b/hw/riscv/Makefile.objs @@ -0,0 +1,12 @@ +obj-y +=3D riscv_elf.o +obj-y +=3D riscv_htif.o +obj-y +=3D riscv_hart.o +obj-y +=3D sifive_e300.o +obj-y +=3D sifive_clint.o +obj-y +=3D sifive_prci.o +obj-y +=3D sifive_plic.o +obj-y +=3D sifive_u500.o +obj-y +=3D sifive_uart.o +obj-y +=3D spike_v1_09.o +obj-y +=3D spike_v1_10.o +obj-y +=3D virt.o diff --git a/include/sysemu/arch_init.h b/include/sysemu/arch_init.h index 8751c46..63c6152 100644 --- a/include/sysemu/arch_init.h +++ b/include/sysemu/arch_init.h @@ -24,6 +24,7 @@ enum { QEMU_ARCH_MOXIE =3D (1 << 15), QEMU_ARCH_TRICORE =3D (1 << 16), QEMU_ARCH_NIOS2 =3D (1 << 17), + QEMU_ARCH_RISCV =3D (1 << 18), }; =20 extern const uint32_t arch_type; diff --git a/qapi-schema.json b/qapi-schema.json index 5c06745..42d91f3 100644 --- a/qapi-schema.json +++ b/qapi-schema.json @@ -413,7 +413,7 @@ # Since: 2.6 ## { 'enum': 'CpuInfoArch', - 'data': ['x86', 'sparc', 'ppc', 'mips', 'tricore', 'other' ] } + 'data': ['x86', 'sparc', 'ppc', 'mips', 'tricore', 'riscv', 'other' ] } =20 ## # @CpuInfo: @@ -452,6 +452,7 @@ 'ppc': 'CpuInfoPPC', 'mips': 'CpuInfoMIPS', 'tricore': 'CpuInfoTricore', + 'riscv': 'CpuInfoRISCV', 'other': 'CpuInfoOther' } } =20 ## @@ -512,6 +513,17 @@ { 'struct': 'CpuInfoTricore', 'data': { 'PC': 'int' } } =20 ## +# @CpuInfoRISCV: +# +# Additional information about a virtual RISCV CPU +# +# @pc: the instruction pointer +# +# Since 2.12 +## +{ 'struct': 'CpuInfoRISCV', 'data': { 'pc': 'int' } } + +## # @CpuInfoOther: # # No additional information is available about the virtual CPU diff --git a/scripts/qemu-binfmt-conf.sh b/scripts/qemu-binfmt-conf.sh index 8afc3eb..c5ac660 100755 --- a/scripts/qemu-binfmt-conf.sh +++ b/scripts/qemu-binfmt-conf.sh @@ -4,7 +4,7 @@ =20 qemu_target_list=3D"i386 i486 alpha arm sparc32plus ppc ppc64 ppc64le m68k= \ mips mipsel mipsn32 mipsn32el mips64 mips64el \ -sh4 sh4eb s390x aarch64 hppa" +sh4 sh4eb s390x aarch64 hppa riscv32 riscv64" =20 i386_magic=3D'\x7fELF\x01\x01\x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x02\= x00\x03\x00' i386_mask=3D'\xff\xff\xff\xff\xff\xfe\xfe\xff\xff\xff\xff\xff\xff\xff\xff\= xff\xfe\xff\xff\xff' @@ -96,6 +96,14 @@ hppa_magic=3D'\x7f\x45\x4c\x46\x01\x02\x01\x00\x00\x00\x= 00\x00\x00\x00\x00\x00\x00 hppa_mask=3D'\xff\xff\xff\xff\xff\xff\xff\x00\xff\xff\xff\xff\xff\xff\xff\= xff\xff\xfe\xff\xff' hppa_family=3Dhppa =20 +riscv32_magic=3D'\x7fELF\x01\x01\x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x= 02\x00\xf3\x00' +riscv32_mask=3D'\xff\xff\xff\xff\xff\xff\xff\x00\xff\xff\xff\xff\xff\xff\x= ff\xff\xfe\xff\xff\xff' +riscv32_family=3Driscv + +riscv64_magic=3D'\x7fELF\x02\x01\x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x= 02\x00\xf3\x00' +riscv64_mask=3D'\xff\xff\xff\xff\xff\xff\xff\x00\xff\xff\xff\xff\xff\xff\x= ff\xff\xfe\xff\xff\xff' +riscv64_family=3Driscv + qemu_get_family() { cpu=3D${HOST_ARCH:-$(uname -m)} case "$cpu" in @@ -117,6 +125,9 @@ qemu_get_family() { sparc*) echo "sparc" ;; + riscv*) + echo "riscv" + ;; *) echo "$cpu" ;; diff --git a/target/riscv/Makefile.objs b/target/riscv/Makefile.objs new file mode 100644 index 0000000..b9e9877 --- /dev/null +++ b/target/riscv/Makefile.objs @@ -0,0 +1,2 @@ +obj-y +=3D translate.o op_helper.o helper.o cpu.o fpu_helper.o \ + user_atomic.o gdbstub.o pmp.o diff --git a/target/riscv/trace-events b/target/riscv/trace-events new file mode 100644 index 0000000..9284b1f --- /dev/null +++ b/target/riscv/trace-events @@ -0,0 +1 @@ +# See docs/devel/tracing.txt for syntax documentation. --=20 2.7.0